National Academies Press: OpenBook
« Previous: III. Proceedings
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

Panel I
The U.S. Experience: SEMATECH

INTRODUCTION

Clark McFadden

Dewey Ballantine

Mr. McFadden said that the first panel would consider the experience of SEMATECH, which began in 1987 as a government-industry collaboration to promote certain technologies in the semiconductor industry. Noting that it has evolved to become International SEMATECH—a collaboration of private companies on an international scale—he observed that SEMATECH was an appropriate subject for this particular committee of the National Research Council because it has been a very visible and major technology partnership. “Extraordinary” in its ambitions, scope, and impact, it has met its technology goals and continues to attract growing investment from its industrial participants.

The Impact of SEMATECH

Assessing SEMATECH’s impact on the semiconductor industry and on technological development in the United States, he said, is more difficult. A full appraisal of SEMATECH requires an understanding of its special features and the sources of its enduring and broad appeal. From the industry standpoint, he said, SEMATECH was initially stimulated by an external competitive threat—the emerging preeminence of an integrated Japanese semiconductor industry. The support coalesced around the acknowledgment that developing the technology required for semiconductor manufacturing was beyond the ability of any single company. The consortium leveraged the differing but reinforcing needs of indus

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

try and government participants to develop manufacturing tools and process technologies. It helped industry to develop more effective manufacturing technology and helped government achieve less costly and more accessible manufacturing of advanced military circuit designs.

A Catalyst for Change in the Industry

SEMATECH has also been creative, he said, in dealing with the issues that confront most technology collaborations: creating incentives for participation, establishing an appropriate technology focus, defining the bounds of shared intellectual property, and providing effective mechanisms for technology transfer. It accomplished these objectives, he said, without diminishing the intensity of the competition among the industrial participants. Remarking that SEMATECH had a transforming effect in many ways, he cited specifically the fostering of an industry perspective on technology development, leading naturally to industry-wide testing of tools and standards and to the development of industry-wide technology roadmaps.

He defined two main tasks of the symposium. The first was to evaluate the salient aspects of SEMATECH, both at its inception and in its current form. The second task was to compare SEMATECH to other consortia initiated around the world. Many of these were in turn stimulated in part by SEMATECH. He noted that launching an initiative of this scope and magnitude requires determined leadership, and that many of the leaders of this effort were present at the symposium. He introduced the first speaker, Gordon Moore, as “one of the real visionaries for and a very compelling advocate” for the consortium as it unfolded and a force behind benefits far beyond SEMATECH.

THE SEMATECH CONTRIBUTION

Gordon Moore

Intel Corporation

Dr. Moore cautioned the audience that his contribution to SEMATECH was restricted to its early years. He proposed to create a picture of the situation at the time SEMATECH was established and to present industry’s view of some of its contributions. During the early years of the consortium, he said, the U.S. semiconductor industry was experiencing what Andy Grove3 dubbed “X curves” (see Figure 1). This referred to the U.S. curves for market share going down and Japanese curves going up for a variety of manufacturing industries, including the semiconductor industry. For the U.S. semiconductor industry, he said, this was “disconcerting, to say the least.”

3  

Andrew Grove and Dr. Moore are co-founders of Intel Corporation.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

FIGURE 1 Worldwide semiconductor market share.

SOURCE: Semiconductor Industry Association

Quality Challenges and the X Curve

Dr. Moore noted that the quality levels of devices being supplied by Japanese industry and U.S. industry were dramatically different at the end of the 1980s. As one contributing element he pointed to an AQL (acceptable quality level) culture that had evolved in the United States. AQL was considered the level of quality that would pass 95 percent of the lots. He said that the industry used to argue with customers about whether 1 percent AQL was appropriate, or perhaps 0.4 percent AQL. “Nobody,” he said, “ever told us they wanted a higher-quality product. I don’t think the customers realized it was available until they started getting it from the Japanese industry.” This discovery, he said, was “also disconcerting.”

Then the U.S. industry started to compile benchmarking data that allowed comparison of yields. Of wafers that were started through the production line the industry was able to move 80-90 percent successfully to the end, whereas the available data showed that Japanese companies were succeeding with 98 percent of their wafers. Similarly, Japanese companies were achieving considerably higher overall yields—the percentage of the original silicon area that emerged as good devices. This function depends strongly on the size of the device because it is an area-dependant phenomenon. In direct labor productivity Japanese industry was roughly twice as high and in indirect productivity seven times as high, partly

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

because U.S. plants employed far more engineers and other workers. When Japanese and U.S. plants used the same equipment, Japanese plants produced two to three times as many wafers per unit of time as the U.S. plants.

Dr. Moore said that this pattern of Japanese superiority was repeated in virtually every measure that related directly to manufacturing. Thus, another X curve could be seen developing in the semiconductor equipment industry. The U.S. market share was dropping and had nearly passed below the level of the Japanese equipment suppliers.

First Steps Toward Collective Action

That, said Dr. Moore, was the environment in which SEMATECH was established. Before that time the U.S. industry consisted of many companies that were very independent. The industry preferred that the U.S. government not be involved in industry other than as a customer. This broad set of trends, however, was a great concern to industry leaders. Charles Sporck of National Semiconductor, who has a manufacturing background, urged the industry to consider some collective activity. Eventually he succeeded and the industry looked more thoroughly into its manufacturing procedures. This examination, said Dr. Moore, showed that U.S. firms were doing an excellent job in device technology, where the industry was moving to the next generation as fast as possible; however, “we weren’t doing a good job” in manufacturing technology, and the leaders resolved to create programs to improve this.

Government-Industry Cooperation

After much debate the Semiconductor Industry Association, composed of the main U.S. device manufacturers, took the unusual step of approaching the government and making the argument that collective action was necessary for the sake of long-term U.S. economic competitiveness and the national defense. Industry and government agreed on a unique arrangement in which several companies invested a combined total of $100 million per year and the federal government matched that amount. Over time the government investment in SEMATECH has totaled about $850 million.

An important feature of this agreement was that, for the first time, industry agreed to put quality people into a government-industry partnership. Participating companies included nearly all of the largest semiconductor companies in the United States and their 14 appointed representatives to work with SEMATECH.4

4  

The original members of SEMATECH were IBM, Intel Corporation, Motorola, Texas Instruments, National Semiconductor, Advanced Micro Devices, Lucent Technologies, Compaq Computer Corp., Hewlett-Packard Technology, Conexant Systems, NCR Microelectronics Corp., Harris Semiconductor, LSI Logic Corp., and Micron Technology.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

The program was initially planned to last 5 years. At the end of that period it was extended for four years. At the end of that extension the industry decided that it was not appropriate to ask for additional government support. This decision was “much to the surprise of the people back here in Washington,” said Dr. Moore, “where programs seem to have a life of their own.”

Varying Motivations for Joining the Consortium

He said that the reason the program was extended to 8 years instead of the planned 5 years was that the industry had moved off to a slow start while it tried to determine the best approach. Initially the planners anticipated two manufacturing lines, using DRAM technology from IBM and SRAM (Static Random Access Memory) technology from AT&T. This did not work well partly because the various companies supporting SEMATECH had different ideas about how they would benefit individually from the consortium. Some assumed they would benefit by receiving next-generation technology, while others thought they would benefit from joint research on manufacturing. As a result, SEMATECH made little progress at the outset despite heavy initial outlays on production lines. The consortium discovered that little could be done to improve manufacturing without running a full-volume manufacturing operation. At the outset the operation also suffered from lack of full-time leadership.

Clarifying the Challenge

Eventually, SEMATECH and the industry supporting it began to clarify the problems that needed most attention. One was the developing crisis in manufacturing tools. The manufacturing tool industry in the United States was very fragmented then and still is. Many companies were one-product firms formed to build a particular kind of machine. Often the lifetime of such a company more or less matched the period during which its product met an industry need, rising and then falling on the success of a single instrument. SEMATECH worked with these companies to develop reliable tools, to teach them total quality control, and to help them understand the needs of the industry and the increasing sophistication of the manufacturing process. Leadership in tools, particularly lithography tools, was then shifting away from the United States.5 SEMATECH began to recognize that much of the important work required to improve manufacturing equipment did not have to be done by each company individually but could be done by the

5  

Lithography is the process whereby a pattern is transferred to a photosensitive material by selective exposure to a radiation source such as light. As a result of the selective exposure to light, the physical properties of the photosensitive material are altered in specific areas. In the semiconductor industry, lithography is used to imprint circuits on semiconductor materials (e.g., silicon, germanium).

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

consortium centrally. The consortium developed a cost-of-ownership model for manufacturing tools that described the problems in detail.

A Forum for Open Communication

Another major contribution of SEMATECH was to provide a forum where companies could openly communicate. Previously antitrust concerns prevented semiconductor companies from communicating effectively about what they were doing, except at certain conferences. Now legislation allowed them to talk together on matters related to SEMATECH and, although the effect was difficult to quantify, they benefited greatly from this new avenue of communication. They discovered that they were all moving in generally the same direction and pursuing essentially the same set of problems. The internal research of companies became more efficient, as they were able to reduce duplication and the number of blind alleys.

Since then SEMATECH has succeeded in funding the development of new 300-mm tools and has taken a leadership position in pursuing the technology roadmaps designed by the Semiconductor Industry Association. It has supported initiatives on mask-making tools, lithography using very-short-wavelength (157-nm) ultra-violet light from a special laser, next-generation lithography consensus, low-dielectric-constant materials, and other innovations. It has also continued to benchmark the industry and to help improve manufacturing methods, among other contributions.

A Lack of New Members

Among SEMATECH’s disappointments, said Dr. Moore, has been its lack of success in increasing the list of U.S. semiconductor companies that belong to it. Despite the rapid increase in the number of semiconductor companies during the consortium’s lifetime, essentially no new U.S. companies have joined. New international companies have joined to replace the U.S. companies that have dropped out, but U.S. participation is largely confined to the founding members.6

New Directions

SEMATECH today has a new set of directions. One of the most important is the 300-mm wafer program, “the perfect example of the kind of place where SEMATECH can help.” The industry today must move to the 300-mm standard in “lock step,” said Dr. Moore, because the equipment industry cannot afford to

6  

International members, who joined in 1996, include Philips, Hyundai, LGSemicon, STMicroelectronics, Infineon, and TSMC. The following year U.S. government funding dropped to zero.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

develop separate new generations of equipment for first 200-mm and then 300-mm wafers. SEMATECH can provide valuable help in coordinating this task.

He also raised the issue of timing, saying that some equipment suppliers had criticized the consortium for beginning to move toward the new standard too early. The equipment suppliers invested in 300-mm equipment before the semiconductor device manufacturers were willing to accept the new standard.

SEMATECH is also helping companies push the key technology of lithography to shorter and shorter wavelengths. The industry needs insulators of lower dielectric constants to improve performance, said Dr. Moore, as well as materials with higher dielectric constants for future gates. Both goals pose considerable challenges to the materials science industry.

A Positive Impact on the Industry

To illustrate the impact of SEMATECH’s activities he showed some of the earlier curves extended in time past the formation of the consortium. The original X curve had become a W curve, indicating that the U.S. industry had regained significant market share in the semiconductor device industry. He said that many factors were responsible for this but that SEMATECH had certainly played a part. The same was true for the equipment industry, where the U.S. and Japanese market shares crossed and then crossed again as the United States regained share, with the effect becoming noticeable at the same time SEMATECH was beginning to “get traction.” (See Figure 2) He concluded that at least from the U.S. perspective, SEMATECH had “a positive impact on the U.S. industry.”

FIGURE 2 Semiconductor equipment market share.

SOURCE: VLSI Research, Inc.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

To measure that positive impact he reviewed the membership over time and showed that most of the major companies had continued to participate, providing an indication of how the participants feel about the value of the organization.

“Was it a good deal from the U.S. government’s point of view?” he asked. He reiterated that SEMATECH was formed during a difficult period in the semiconductor industry. Intel, for example, had lost a “significant” amount of money in 1986, as did most of the industry.7 Today, however, Intel pays more in taxes every quarter than the entire government investment in SEMATECH, indicating that for the government and the industry a “very good investment was made.”

Focusing on the Largest Challenges

In conclusion he said “a government-industry partnership can contribute.” He said the challenge was to identify a problem clearly at the outset. Without a very specific charter, he said, a multi-corporation organization may not have any more impact than a single laboratory. The impact can be greatest when the problems exceed those a single company can solve. As an example he cited the challenges of the new lithography environments. As the industry moves away from optical lithography it faces billion-dollar R&D programs to reach the point where it can start operating. A challenge of this magnitude, he said, requires some form of industry or industry-government consortium.

Supporting Basic Research

Another area where government-industry cooperation is important, he said, is in the support of the basic research on which an industry depends. In effect, he said, the industry is “still mining the basic developments of the 1950s, when we developed the semiconductor industry.” Since then industrial R&D has become more sharply focused on short-term, predictable results.8 Companies have found they cannot fully capture the benefits of their high-risk, long-term research, which can produce results that are unexpected or take far longer than anticipated. Companies can no longer afford to support large central laboratories dedicated to ba

7  

During the mid-1980s Japanese firms, because of their comparative advantage, dominated the then memory-driven semiconductor industry. As a result of their large market share in semiconductors the Japanese firms forced many of their competitors out of the memory market and into other markets. It was at this point that Intel abandoned the DRAM (dynamic random access memory) market and began focusing its production efforts on the microprocessor.

8  

According to the Semiconductor Industry Association, federal investments in pre-competitive R&D, such as programs sponsored by the National Science Foundation, have declined from 5.7 percent of the federal budget in 1965 to 1.9 percent today. During the same period many other nations have increased their investments in R&D.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

sic research—even though the benefits of such work to society as a whole are significant.

Therefore, it is extremely important, said Dr. Moore, that the government continue to support long-term research across a very broad base. The whole of technology must move ahead together as a single front, without one part advancing ahead of the rest. In biological research, for example, it is poor strategy to study only what goes on in the cells themselves. Also needed are physical and chemical tools to probe and analyze these cells. He said that progress in biology owed a great deal to MRI imaging, whose uses in the health sciences no one could have predicted several decades ago. We could not have made such rapid progress in deciphering the human genome without simultaneous advances in data processing. He concluded that the one place where government has an indispensable role in working with industry is in maintaining this country’s excellence in long-term basic research across the whole broad front of major disciplines.

DISCUSSION

Participation of Foreign Companies

A questioner asked, in regard to international organizations, whether foreign companies would use them as a way to draw on the U.S. base of expertise. Dr. Moore said he viewed it more as an opportunity for broader participation in what has become a global industry. “There are some general problems that we all have to solve,” he said, “if we’re going to continue to make progress as an industry,” such as the challenge of producing 300-mm wafers.

SEMATECH Without Government?

Dan Radack of the Defense Advanced Research Projects Agency asked whether SEMATECH would have formed without the original participation of the government, provided it had not been blocked by antitrust concerns. Mr. McFadden said that in his opinion it would have been unlikely. Government participation provided a broader sense of both urgency and commitment, and made most of the companies feel as though they could not afford to be left out.

Support for a Broad Range of Basic Research

Eliot Cohen of the Palisades Institute for Research Services, referring to Dr. Moore’s comment about the need for sustained government support for basic research, asked if he would advocate support for specific areas. Dr. Moore repeated that support should be “fairly broad.” He suggested that the current focus of Congress on bioscience research at the National Institutes of Health should not be applied to the exclusion of information technologies and other disciplines that

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

may also contribute to breakthroughs in health science. He said that it is very hard to pick winners and losers in research, especially in basic research, where the impact may be far removed from the locus of research.

THE IMPACT OF SEMATECH ON SEMICONDUCTOR R&D

Kenneth Flamm

University of Texas at Austin

An Economist’s Perspective

Dr. Flamm said he would offer an economist’s view of the impact of SEMATECH, including a review of the economic literature on R&D cooperation. He said that if one tried to evaluate the success of SEMATECH from a private perspective, a good test would be whether firms judge it to be worthwhile in the absence of government subsidies. On the other hand, to judge whether it was socially worthwhile for the government to support SEMATECH, information on the impact of SEMATECH on aggregate R&D in the industry would be needed. He said, however, that in a moment he would suggest that this is not necessarily the right question to ask.

Dr. Flamm said he would spend little time on the background of SEMATECH, which had already been described by Dr. Moore. He did note an irony in the story: The Japanese VLSI projects of the late 1970s helped shape the concepts that led to SEMATECH.9 The Japanese VLSI projects, he said, were generally perceived as having played a significant role in bringing Japanese manufacturing technology and semiconductors up to world-class levels in the late 1970s. Subsequently that model in the semiconductor industry was basically dropped by Japan in the 1980s and, after SEMATECH, brought back again in the 1990s.

Generally Perceived as a Success

He observed that with a few exceptions SEMATECH is generally perceived as a success by the U.S. semiconductor industry, although opinions differ on the amount of credit it should be given. He said that the willingness of the industry participants to continue the program entirely on their own is the best test of whether they judge it privately to be worthwhile. He said it was also perceived as a success in Japan, influencing the formation and design of the ASET and Selete programs.

Dr. Flamm described a perception that SEMATECH played some role in the resurgence of the U.S. semiconductor industry. He said that economists generally

9  

VLSI stands for very large scale integrated circuit.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

view the program as the preeminent model of a cooperative government-industry R&D joint venture. Despite its place as a model program, however, there has been only one empirical study of any significance, and the study itself has some flaws.10

Coordination and Cooperation

Turning to the economics literature on R&D cooperation, which he warned his audience would be “painful,” Dr. Flamm said that it basically distinguished two aspects of cooperation that can logically be separated. One of them is coordination, i.e., when firms jointly commit to R&D activities, taking into account any spillover effects. The other function of R&D cooperation is to share the results of the jointly funded R&D. Much of the economic literature concerns the pure coordination model, which could be called an R&D cartel. That is, the participants agree on how much each will invest in R&D, knowing that some of the results of their individual R&D are going to spill over to the other firms. The distinction is between all companies committing jointly to individual investments in R&D and to all companies doing their R&D competitively, without commitment to others.

Internalizing Spillovers from Others’ Research

The results of these two models of cooperation differ. If every firm is doing R&D on its own, the spillovers of this R&D among firms will tempt each firm to act as a free-rider. As such, the strategy of each will be to let other companies do most of the investment while the low-investment or free-rider firm attempts to garner the benefits from the others’ R&D results. In the case of coordination, however, each company is internalizing some benefit from the spillovers. The industry is maximizing total profits and each participant is able to internalize some of the spillover from the efforts of other firms.

The Joint Venture Includes Information Sharing

The canonical model of the broader form of cooperation that includes information sharing is the R&D joint venture. In the cooperative mode of a joint venture, firms not only set their R&D levels together but they also agree to perform

10  

Few researchers have empirically assessed the effects of joining SEMATECH on member firms’ expenditures on private R&D. As noted by Kenneth Flamm and Qifei Wang in “SEMATECH Revisited: Assessing Consortium Impacts on Semiconductor Industry R&D” in this report, even though SEMATECH is the highest-profile R&D consortium in the United States, it has been the focus of study in only three statistically rigorous papers. For the first empirical study of SEMATECH see Douglas A. Irwin and Peter J. Klenow, “High-Tech R&D Subsidies: Estimating the Effects of SEMATECH,” Journal of International Economics 40(3-4):323-44, May 1996.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

R&D together as a single entity. Thus, they completely share the benefits of their R&D. This is the opposite of pure competitive R&D by competing firms.

As a variation he suggested a competitive R&D joint venture, in which each company agrees to funnel its R&D through the single joint organization without committing to a level of spending. This case would still suffer from a free-rider problem—a situation in which each company agrees to do its R&D in semiconductors with SEMATECH without specifying what they would contribute. They would spend some amount in the interest of doing R&D, even if no other company spent money on R&D. However, this amount would be less than it would be if companies decided to set their R&D levels cooperatively.

Two Scenarios of R&D Cooperation

He then showed schematic representations of the two models. The first depicted pure coordination, where the spillover (β) between two R&D firms may vary from 0 to 1—from no spillover to complete sharing. (See Figure 3.) He also showed a schematic drawing of coordination and information sharing, picturing an R&D joint venture in which the spillover (β) takes on a value of 1, that is, complete sharing. (See Figure 4.)

He pointed out that the economics literature has examined two scenarios under different levels of cooperation and sharing. The first set-up considers the outcome of a cartel versus competitive firms, whereas the second scenario examines the consequences of a competitive joint venture versus a cartel joint venture. That is, the economics literature only considers two extreme situations; complete cooperation in R&D and no cooperation in R&D.11

SEMATECH as a Hybrid of the Two Scenarios

He suggested SEMATECH is a hybrid of these two pictures. A certain portion of the R&D is funneled into the organization, where it is carried out collectively and spills over to other firms. He pointed out that the spillover coefficient

11  

Researchers have focused on a simple model of a research cartel, in which there exist information spillovers and firms pursue R&D as a means to reduce their costs of production. Joanna Poyago-Theotoky, for example, has found that, contingent on a particular size of the spillover, the market may not provide sufficient incentives for the optimal amount of cooperation in R&D to take place. That is, not enough firms join a research joint venture, for example, to justify its existence from an efficiency perspective. This result suggests that industry-wide cooperation in R&D should be encouraged and policy should aim to foster a situation in which all firms in the industry join the research venture. For the complete analysis of this result see Poyago-Theotoky, “Equilibrium and Optimal Size of a Research Joint Venture in an Oligopoly with Spillovers,” Journal of Industrial Economics, June 1995, pp. 209-26.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

FIGURE 3 Pure coordination.

FIGURE 4 Coordination and Information Sharing.

for that is not necessarily 1. In fact, it would be somewhat less than 1 because it might be less effective than a firm’s own R&D performed for private purposes. At the same time companies are also competing privately, carrying on their separate R&D programs. In addition, another factor is required to indicate the effect of the government subsidy on the consortium. Thus, there is a mixture of cooperation and competition, and the picture for SEMATECH is somewhat more complicated than the abstract, ideal cases depicted by the economics literature.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
R&D Spillovers and Externalities
Differences Between High and Low Spillovers

The strongest result from these models, he said, is that with very high spillovers the aggregate amount of R&D increases with a cartel. With very low spillovers the amount of R&D decreases with a cartel. Between these two cases is a wide range of intermediate cases for which the result is ambiguous. For very high spillovers cooperating will increase the level of R&D, but for very low spillovers cooperating will reduce the amount of R&D.

He suggested an intuitive explanation for this outcome. He posed the existence of two kinds of externalities that may result from R&D. One is a competitive-advantage externality. That is, with no spillovers at all, a company continues to do R&D until the benefit of that work just meets the need of a project inside of the company. On the other hand, if there is spillover to another company, a company’s R&D reduces other companies’ unit costs by some amount. In the competitive model this will tend to reduce the amount of R&D the company funds relative to what would be funded without spillovers.

The Impact of Cooperation Can Be Positive or Negative

When a company cooperates, it may experience an additional externality, which might be called the combined-profits externality. In this scenario a company seeks to maximize not just individual profits but the profits of the entire industry. This effect can have a positive or negative impact on R&D. If the magnitude of the spillover is small, other companies’ costs are not reduced much and their profits decrease as the first company’s profits increase. Thus, the overall effect is negative and total R&D is reduced. If the magnitude of the spillover is relatively large, other firms’ costs are reduced and their profits rise along with those of the first company. Subsequently, this positive effect increases the overall amount of industry R&D.

The conclusion, said Dr. Flamm, is that when firms cooperate, they can have either a positive or a negative impact on industry-wide R&D. If the magnitude of the spillover is large, the result will be an increase in the level of R&D in the industry. If the magnitude of the spillover is small, the effect will be a reduction in the overall level of R&D. If the spillovers are large enough and the two effects are combined, the effect on industry-wide R&D will be positive. That result supports the notion that cooperation actually increases R&D.

Three Motives for Consortium-Based Cooperation

Dr. Flamm then looked at R&D from a public policy view and presented three motives for cooperation. The first is to share information. Even without

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

spillovers, companies that cooperate on R&D can reduce the investment required to achieve a certain level of technological progress. The second reason for cooperating is to accomplish projects that promise spillovers so large as to inhibit an individual company from pursuing such projects independently. The third reason is to create an institutional structure that promises to increase spillovers, which he suggested as a possible reason for creating for SEMATECH. For each of these motives, he said, in either a world with spillovers or a world with no spillovers the effect of cooperation can be either positive or negative. That is, the amount of R&D can rise or fall.

He gave an example to show how even without spillovers R&D can increase in a consortium because the consortium brings research opportunities: the R&D being done in SEMATECH, which is complementary to something a company wants to do for private reasons. This saves resources and might make the opportunity worthwhile.

R&D May Increase or Decrease in the Presence of Spillovers

He said that one can’t infer anything about the motives or character of R&D just from the impact of the consortium on overall R&D. In particular, one cannot tell the nature of R&D being pursued, whether it aims mainly to reduce duplication or targets areas of high spillovers between companies. R&D can also increase or decrease when spillovers exist. Thus, even though spillovers are a justification for federal government support for R&D, the impact of the consortium on aggregate R&D in the industry is ambiguous, offering no information about whether the consortium is worthwhile.

Is SEMATECH Worthwhile? An Empirical Study
Empirical Evidence

Reviewing the single empirical study of SEMATECH, Dr. Flamm noted that it is characterized by a number of inherent problems in its data and analysis.12 He suggested some improvements in this type of analysis and described a method of considering R&D as a percentage of sales for companies inside and outside the

12  

Irwin and Klenow examine the commitment hypothesis and the sharing hypothesis. The commitment hypothesis maintains that consortia such as SEMATECH obligate firms to contribute a larger amount to high-spillover R&D, while the sharing hypothesis implies that member firms will reduce the level of duplicative R&D. The researchers find support for the sharing hypothesis, which would lead one to the conclusion that government subsidization for R&D consortia was not justified. Economists, however, have criticized this study as suffering from measurement error. The results of the study are detailed in Irwin and Klenow, op.cit.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

industry. He used a fixed effects model, which included industry conditions, age, SEMATECH membership, and possibly R&D sales as determinants of the total amount of R&D.13 This would produce a controlled experiment for the behavior of both SEMATECH firms and non-SEMATECH firms in the same industry to compare how SEMATECH seems to affect R&D expenditure. With some modifications to the model, he said, one concludes that the increase in R&D for SEMATECH members compared to non-SEMATECH members in the U.S. semiconductor industry is approximately 0. That is, SEMATECH members do neither more nor less R&D than non-SEMATECH members.

Greater “Effective R&D”

Does that mean SEMATECH was not worthwhile? He said the answer to this question is “no”. If companies in the industry cooperate and reduce the duplication of R&D, they achieve greater effective R&D, a socially and privately worthwhile result. This signifies a high rate of return even if R&D does not increase at all or even declines. In fact, a decline in R&D could be beneficial by reducing the number of duplicative projects while sharing the production of the same number of technological projects. An increase in R&D could also signify a beneficial outcome. This might indicate that the consortium was doing many high-spillover projects that would not have been done without internalizing the benefit by forming SEMATECH.

Dr. Flamm said SEMATECH had had an observable effect on suppliers and that the result may have been a slight reduction in expenditures for suppliers.

He raised an important technical question: “How were R&D contributions by the member companies to SEMATECH reported in company accounts?” He said that the answer to that question would affect his conclusion about whether R&D went up or down.

Conclusions

The long-run impact of SEMATECH on company R&D suggests little about the social value of the consortium, because it does not indicate whether R&D has increased by the duplication of company efforts. Nor does it make clear whether the consortium was doing high-spillover projects that would not have been done

13  

A fixed-effects model is a statistical technique that allows the researcher to discern the influence that the individual firm has in determining the outcome of a dependent variable, which in this case would be total industry R&D. Typically a fixed-effects model is most appropriately used, for example, when the researcher is able to observe every firm in the industry; thus he can isolate the individual role each firm plays in determining the level of industry R&D. For an in-depth treatment of fixed-effects models see C. Hsiao, Analysis of Panel Data. Cambridge: Cambridge University Press, 1996.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

otherwise. He concluded by saying that the study does not answer whether the investment of public money in the consortium was good or bad from a social perspective. The investment seems to have been good from a private perspective, because the private companies have continued to invest in SEMATECH over a sustained period.

CURRENT CHALLENGES: A U.S. AND GLOBAL PERSPECTIVE

Michael Polcari

IBM

Dr. Polcari said he would discuss the technical challenges the computer industry is facing, the competitive landscape, and some resource issues. He called the technical challenges unprecedented. To illustrate the productivity improvement in the industry he showed the rise in the density of transistors per chip, which has approximately doubled every 18 to 24 months, a trend known as Moore’s Law. He also showed the performance improvement in microprocessor speed, also trending upward and slightly ahead of its curve at about 1.2 gigahertz. This curve was plotted against lithography dimension, which had been declining by about 70 percent every 2 to 3 years. This period had been three years, but as mentioned earlier in the day, it had dropped to a 2-year cycle over the past few years.

Overcoming Technical Challenges
Improving Productivity

From now on, said Dr. Polcari, the challenge for the industry is to maintain this rate of productivity improvement. To date, these improvements have been the result primarily of scaling—the progressive shrinking of component size. The challenge of the future will be to find new solutions when scaling ends. He discussed a schematic of an MOS (Metal Oxide Semiconductor) transistor, which is the fundamental building block for the semiconductor industry. In 1974 Robert Dennard and his colleagues at IBM14 defined how one would calculate the scaling of a transistor by means of a scaling factor alpha that could scale the relative parameters of the transistor. This scaling technique had been used for two decades, particularly for lithography, which must be scaled down to alter the tran

14  

Dr. Dennard’s invention of one-transistor dynamic RAM (DRAM) in 1966 was a core development in launching the modern computer industry. With coworkers he also developed and verified scaling theory—an orderly scientific approach to determining and dealing with the challenges posed in designing and building ever-smaller computer devices on silicon chips.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

sistor dimensions. In addition, the gate must be shrunk by the alpha factor because it is one of the fundamental limitations to continued improvement.

He also described an optical lithography system, using a schematic, showing the light source, the condenser lens, and the projection lens. The other factors in the overall lithography system are the mask and the photoresist. All of these factors depend on the wavelength of the light source used to build the images.

The Limits of Scaling

He made two points to illustrate how difficult it is to continue scaling at the traditional pace of improvement suggested by Moore’s Law. First, the industry has for many generations followed a path of introducing a lithography system with a certain wavelength and being able to use that system for several generations. We have now reached a point, he said, of introducing new wavelengths and changing the whole system in fewer generations, which has placed a considerable strain on the pace of engineering. In addition, these wavelengths are being used at resolutions below the wavelength of the system, which adds another tax on the system. This is done through engineering “tricks” that adjust the mask, projection optics, and other parts of the system. Both approaches place a huge tax on the industry in terms of time and resources.

Diminishing Wavelengths

He went on to discuss the progression of lithography toward smaller wavelengths. After a series of surprising improvements many people agree that this progress is likely to end at a wavelength of 157 nanometers, which would represent a gate length of 70 to 80 nanometers. Beyond that, he said, to achieve shorter wavelengths the industry would have to switch to other systems, such as electron beams or X rays. Those changes will require significant development and research. Some of this work has begun, but Dr. Polcari noted the widespread opinion in the industry is that the level and amount of research on these systems is not adequate for the expected time frames.

Where Scaling Ends

Turning to the new copper technology that has been introduced for transistors, he noted some special challenges. The performance of a chip increases with each generation of transistor, as does the performance of the overall device. However, at a certain point the performance advantage of a transistor is lost unless the material in the wiring levels is changed to yield lower resistance. Having to change materials in the system in order to maintain scaling (a process that had already begun) signified that scaling had, in effect, ended. This need adds complexity and presents additional challenges to the system.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

The industry now is moving from materials such as silicon dioxide, which has been the mainstay of the semiconductor industry for several generations, into lower-dielectric-constant materials, such as organics. Eventually, to approach a dielectric constant of two, it will be necessary to introduce porosity into the system, which is a major challenge in terms of reliability. Again, such a change will require significant industry resources to continue to extend scaling.

Gate Thickness: An ”Amazing Feat”

As a final technical illustration Dr. Polcari showed a micrograph of some of the atomic-level features of the semiconductor. “This shows the advances we’ve made in the industry,” he said, “where now you have to use transmission electron microscopes to show what you’re looking at.” He described the gate dielectric structure, with individual grains of polysilicon above and single-crystal silicon below, separated by the gate-oxide material SiO2. The thickness of the gate dielectric, he said, is approaching 25 angstroms.15

He said the tolerance of the thickness of the gate dielectric is 10 percent, which means trying to control it to within 2 angstroms, “which is quite an amazing feat of technology.” In the future engineers will need to reduce this thickness to continue performance improvements and scaling. Within a few years the thickness is scheduled to drop below 20 angstroms. At around 15 angstroms, however, the gate dielectric of SiO2 is no longer useful due to leakage and other problems, so the industry will need to switch to higher-dielectric-constant material; this is a major focus of the industry today. He emphasized how difficult it is to switch to different material. The reason for using silicon in the first place was that it is stable and has other desirable properties. The switch must be made rapidly, which presents many other challenges.

Improvements Beyond Scaling

Dr. Polcari concluded his technical discussion on a slightly more optimistic note. Because most of the improvements in transistor performance over time have been due to scaling, many people are predicting that when scaling ends, we will hit a technological brick wall in the improvement of transistors. Others, however, say that the challenge will simply shift in the direction of other kinds of improvements. Beyond materials changes, he said, will come specific improvements in Complementary Metal Oxide Semiconductor (CMOS) device performance, such as silicon-on-an-insulator, which does not require changes in the lithography dimension. Another anticipated improvement is to increase the mobility of the sili

15  

An angstrom is one one-hundred-millionth of a centimeter, about the diameter of an atom.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

con itself by enhancing the material in the gate area and developing new doublegate devices. All of these, he said, require substantial investments in research.

Too Early to Pick Winners

Beyond these changes, he said, we can expect a series of small improvements that will strengthen overall system performance. To illustrate the point he showed a list of more than a dozen such improvements, none of which depends on the familiar scaling strategy of the last 20 years.

Some of them help to significantly alter the substrate and ultimately modify the whole system structure to control the current through the channel on both sides of a double gate. Beyond this approach comes a series of new device structures and new architectures, including quantum dots and nanotubes, all of which are under investigation and will require many years of study and basic research. “It’s very difficult to pick a winner from this list today,” he said. “You need a broad portfolio from which to choose when you are looking at things that take 10 to 15 years to mature.”

Preparing for the New Competitive Landscape
A Groundwork of Basic Science

Given the magnitude of these technical challenges, Dr. Polcari underscored the importance of building the nation’s groundwork in the basic sciences, notably materials sciences and interface physics, which must be better understood at semiconductor scales. Echoing the remarks of Dr. Moore, he called on the federal government to provide more research funding for these sciences if the nation is to continue its leadership in this field.

A Sharing of Leadership

He turned his discussion from a focus on device and process technology to the competitive landscape that lies ahead. He began by discussing the number of patents being filed in the United States. The United States and Japan have dominated this activity, but he described a recent and significant increase in activity by South Korea and Taiwan. “This,” he said, “is testimony to the rapid advances in the semiconductor industry in those countries.” He expects the gap to continue to narrow, driven by the strength of Korea and Taiwan in memory technology and foundry-based technology. He said the trend toward a shared global leadership was illustrated by the even distribution of papers at the 2000 Symposium on VLSI Technology, one of the world’s major device conferences. He also pointed out that all major semiconductor companies now belong to global alliances. These alliances handle functions from research and development through manufactur-

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

ing. Considering U.S. government funding for the semiconductor industry, he said, one needs to take into account that global partnerships have become the industry norm.

To indicate further how leadership is shared among companies around the world he showed a list of the companies preparing to begin 300-mm fabrication, the most advanced manufacturing technology. The list included not only the U.S. and Japan but also Europe, Korea, and Taiwan, all advancing at about the same rate. Similarly, a chart of DRAM industry bits shipped again showed broad dispersal. Of the five major DRAM manufacturers, two are Korean, one European, one Japanese, and one American.

The Danger of Neglecting High-risk Research

He moved to the topic of funding resources, recommending especially a paper by Erich Bloch and colleagues.16 In the 1950s about one-third of the funding for the semiconductor industry came from private sources, while two-thirds came from the federal government. Today those proportions have been reversed. He said that overall this is good news, except for one danger. Government funding goes primarily to the long-term, high-risk research programs, while industry tends to fund the more short-term, tactical activities. He cited the danger of decreasing the longer-term, more basic research. In addition, during the 1990s funding for some basic materials and physics research decreased as well. He referred to the dramatic decrease in funding by the Defense Advanced Research Projects Agency and other programs of the Department of Defense during the 1990s, mitigated somewhat by the MARCO17 programs, as well as some potential federal dollars for nanotechnology research. Not only are the high-risk areas neglected but the graduate student population also begins to suffer as money moves away from these academic areas. Professors move to where the dollars are, and as dollars move away from the semiconductor industry, the graduate students and professors move into other fields—a recent and visible phenomenon. In this way the people needed to drive the U.S. computer industry decrease in number. Now that the industry is global, competition for the more talented workers exists in the context of a world labor market, and U.S. industry has difficulty attracting the skilled workers it needs.

16  

Erich Bloch, Ralph Cavin, and Kathleen Kingscott, “The Economy, Federal Research, and the Semiconductor Industry,” a report prepared for the Semiconductor Industry Association, March 8, 2000, at <http://www.semichips.org>. The report calls for increased government support of university-based research and closer collaboration between government and industry. It warns that “a loss of international leadership in semiconductor technology would be economically devastating.”

17  

The Microelectronics Advanced Research Corporation (MARCO) is a wholly owned but separately managed subsidiary of the Semiconductor Research Corporation. MARCO is a not-for-profit research management organization that funds and operates a number of microelectronics technology-oriented, university-based research centers as part of its Focus Center Research Program.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Widening Gaps in Research and Workforce

He pointed to a chart showing that as the cost of research has risen, industry has chosen to shift most of its research to short-term projects. SEMATECH is shown as funding more medium-term research, with the Semiconductor Research Corporation (SRC) funding much of the industry’s longer-term research in universities.18 The chart depicts a research gap: Insufficient funding at universities has the potential to erode university infrastructure and the base of graduate students.

Dr. Polcari also described a sudden, recent falloff in the engineering workforce. The SRC has surveyed the graduation rate of electrical engineering majors from bachelor’s programs and found a significant decrease from 1988 to the present. It projects no recovery from the current low levels for the next several years.

He concluded by warning that the falloff in the engineering workforce comes at a time when the industry faces some of its most pressing engineering challenges. He urged the government to participate by applying funding to the area of academic research, both to stimulate research in long-term, high-risk areas and to stimulate the pool of graduate students in the field of semiconductor research. He commended the SRC for continuing its support of the basic sciences and recommended that it continue to strengthen the university system.

DISCUSSION

Jobs for Young Ph.Ds

Steve Kang of the University of California at Santa Cruz recalled that when he worked at AT&T-Bell Laboratories, he observed the steady shrinking of the chemistry and physics departments. “Where,” he asked, “can the young Ph.D. go if industry no longer has R&D labs?” Dr. Polcari suggested that although central labs have been downsized, there are still opportunities at the large corporations, as well as elsewhere in the semiconductor industry. He agreed that there is less work today in pure physics and more in applied and development cycles.

Global Collaboration

Arpad Bergh of the Optoelectronics Industry Development Association asked a question about international collaboration. With SEMATECH sponsoring collaboration on pre-competitive research, would it not be equally appropriate for

18  

Over the past 15 years the Semiconductor Research Corporation has channeled some $30 million a year of industry funds into university-based research.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

different countries to collaborate on basic research? Dr. Polcari answered affirmatively, pointing again to the global nature of the industry.

DISCUSSANT

David Mowery

University of California at Berkeley

Five Points About SEMATECH

Dr. Mowery said he would touch on five specific points about SEMATECH, since the overall consortium had already been described during the workshop.

The Dynamic Character of SEMATECH

First, he stressed the importance of its dynamic character. There has been considerable change in its structure since the founding of SEMATECH because some members have been replaced by others and the consortium has become internationalized. Its financing has also evolved considerably, with the termination of federal funding and the introduction of contributions of international members. The research agenda, too, has moved from a “somewhat hazy focus on horizontal collaboration” with the goal of developing a manufacturing process and diffusing the results among members to focus on a more vertical collaboration. He suggested that much of the success of SEMATECH has reflected its ability to adapt. This flexibility, he suggested, owes much to the combination of partial public funding and industry control of the agenda.

Does The Government Performance and Results Act Suppress Flexibility?

A second point he raised was the issue of how the Government Performance and Results Act (GPRA) applies to publicly funded R&D programs.19 He reminded the workshop that SEMATECH in 1987 or 1988 created a set of goals but that those goals have changed appreciably over time. How easily could they have adapted to changing conditions, he asked, if they were forced to fit the “some-what procrustean requirements” of GPRA? He suggested that this issue will take on added importance as the United States contemplates public funding of other collaborative government-industry ventures.

19  

The Government Performance and Results Act of 1993 calls all government agencies and programs to greater accountability by requiring annual performance plans and performance reports. This requirement applies to research activities as well as other government functions.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
An ‘Extension’ Role for SEMATECH

A third issue was the importance of the breadth of SEMATECH’s agenda, particularly in its emerging collaboration with the equipment industry. This is a collaborative agenda that extends well beyond conventionally defined R&D. It involves an extension role, in the agriculture-extension sense of the term, in which SEMATECH staff and member firms work with suppliers to improve quality control and address management issues. This role is not unusual in collaborative R&D, he said, but extra breadth is required in dealing with smaller, newer firms that often need assistance on a broad front. The role also extended well beyond the narrowly framed concept of R&D that economists tend to consider.

Challenges in Evaluating the Impact of SEMATECH

A fourth issue was how to evaluate SEMATECH’s contributions to the revival of the U.S. semiconductor industry. He called this a difficult issue, partly because it is almost impossible to specify what would have happened to the industry in the absence of SEMATECH. Some of the most important factors, he said, are product innovation, repositioning existing firms to compete in new product areas, and exploiting new product opportunities instead of competing directly in established product lines like DRAM. The competitive revival on the manufacturing side had a great deal to do with product innovation. Manufacturing performance may have been a necessary condition, but it almost certainly was not a sufficient condition. On both the equipment side and the manufacturing side, he added, additional important factors have been the severe and lingering economic downturn in Japan and the entry of non-Japanese semiconductor manufacturers on a large scale. These events, in turn, have created substantial opportunities for equipment vendors.

An Important Catalytic Role

Finally, the SEMATECH R&D budget probably accounted for a smaller share of combined national industrial and government R&D spending in areas related to semiconductors than did the budgets of other countries’ collaborative programs, such as those of Taiwan and Japan. He stressed the importance of the scale of non-SEMATECH public and private investment that contributed to the revival. He recalled Dr. Moore’s suggestion that the commitment of federal financing appears to have a played an important catalytic role at the outset. It raised the expectations of prospective member firms, raised the profile of the collaboration itself, and may have encouraged the commitment of individual corporations. The question remains whether that catalytic role also required a financial contribution for eight or nine years subsequently. Would a shorter-term grant program, for example, or a longer-term loan-finance arrangement have accomplished the

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

same purpose? The catalytic role, he concluded, was important, but no one has yet shown which were the best types of public financing mechanisms to accomplish this role.

A Plan for Comparing National R&D Support Programs

Next, Dr. Mowery proposed a possible agenda for comparing various national programs, especially collaborative R&D programs. He sees two challenges. The first is to compare the structure of these programs cross-sectionally. The second is to compare how well these programs fit with the evolving structure of the semiconductor industry. This second challenge is important, he said, because the industry structure itself is dynamic and moving rapidly toward greater vertical specialization. Current trends include the formation of new foundries and fabless firms that specialize in design, equipment producers that play a more prominent role in the development of process modules, and a great deal of learning and comparison across national boundaries. He agreed with Dr. Flamm that SEMATECH and European programs were inspired in part by their understanding of earlier successful Japanese programs. Meanwhile, the more recent Japanese programs—ASET and Selete—have been influenced by the perceived lessons of the SEMATECH experience.

He suggested three issues with respect to collaborative R&D, drawing on work that Dr. Spencer and he had begun about 18 months earlier with a doctoral student at the Haas School.

The Research Agenda of Collaborative Activities

The first issue, examining the research agenda of collaborative activities, includes the following questions:

  • How is the research agenda established?

  • Is it driven primarily by industry, by government, by an expert panel at arm’s length from either group, or by some mix of these?

  • What is the time horizon for the research agenda? The research agenda for SEMATECH has been primarily medium term; member firms and other entities have tried to complement each other’s investments in longer-term, medium-term, and perhaps near-term research.

  • What is the time horizon across national programs and how does the research within the collaboration complement other research activities— university research, in-house research, research in government laboratories?

  • How has the agenda changed since the consortium’s formation and what drives its evolution?

  • Is the collaboration primarily vertical or horizontal? He said that SEMA

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

TECH has become primarily a vertical collaboration, with some horizontal collaboration in planning and roadmapping.

The Structure of the Collaboration

As a second issue he mentioned the structure of the collaboration, which is characterized by the following questions:

  • What is the role of suppliers within the consortium (very important within SEMATECH)?

  • Who accomplishes the task of roadmapping?

  • What are the various roles of non-domestic firms: as participants, non-members, or non-participants?

  • Where is the collaborative R&D performed? SEMATECH originally intended to carry out most of its R&D at its central facility in Austin. Over time a more diffuse structure evolved with a substantial shift of R&D activities to supplier firms and member firms taking place.

  • How is the mix of public and private funding established and how is it changing?

The Structure of Management

Finally, he considered the structure of management, which involves the following questions:

  • How are member firms selected?

  • How are the R&D facilities of the consortium staffed? SEMATECH was a pioneer in staffing and very innovative in getting its member firms to contribute high-quality people, who played the key role of transferring new technology back to the firm.

  • What happens to member-firm assignees when they return to their parent firms? He mentioned tentative evidence that the experience of SEMATECH assignees and their parent firms may differ from the experience of some of the Japanese participant firms’ assignees in earlier projects, such as the VLSI programs.

  • What are the career paths in the parent firm after an assignment with the consortium? A policy of placing assignees on a fast track upon their return can have important implications for the relationship between member firms and the consortium.

  • How is intellectual property managed? Customs differ among the consortia nationally and regionally. This is an issue that is likely to change over time in substance and importance, he said. In some cases consortia themselves take out patents, while in other cases consortia manage a patenting

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×

process, so member firms within the consortium become the assignees of the patents.

In summary, Dr. Mowery characterized his talk as “a brief laundry list of issues,” which could be useful as “a roadmap of sorts” to establish points of contrast and similarity. With such a roadmap, he suggested, one could think profitably about how “this unusual instrument of R&D collaboration” will likely evolve in response to ongoing changes in industry and market structures.

Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 95
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 96
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 97
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 98
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 99
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 100
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 101
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 102
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 103
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 104
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 105
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 106
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 107
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 108
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 109
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 110
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 111
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 112
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 113
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 114
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 115
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 116
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 117
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 118
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 119
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 120
Suggested Citation:"Panel 1: The U.S. Experience: SEMATECH." National Research Council. 2003. Securing the Future: Regional and National Programs to Support the Semiconductor Industry. Washington, DC: The National Academies Press. doi: 10.17226/10677.
×
Page 121
Next: Panel 2: Current Japanese Partnerships: Selete and ASET »
Securing the Future: Regional and National Programs to Support the Semiconductor Industry Get This Book
×
Buy Paperback | $94.00 Buy Ebook | $74.99
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

Based on the deliberations of a high-level international conference, this report summarizes the presentations of an exceptional group of experts, convened by Intel’s Chairman Emeritus Gordon Moore and SEMATECH’s Chairman Emeritus William Spencer. The report documents the critical technological challenges facing this key industry and the rapid growth in government-industry partnerships overseas to support centers of semiconductor research and production in national economies. Importantly, the report provides a series of recommendations designed to strengthen U.S. research in disciplines supporting the continued growth of semiconductor industry, an industry which has made major contributions to the remarkable increases in productivity in the U.S. economy.

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!