National Academies Press: OpenBook

Database Needs for Modeling and Simulation of Plasma Processing (1996)

Chapter: GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS

« Previous: FEATURE SCALE MODELS
Suggested Citation:"GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS." National Research Council. 1996. Database Needs for Modeling and Simulation of Plasma Processing. Washington, DC: The National Academies Press. doi: 10.17226/5434.
×
Page 17
Suggested Citation:"GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS." National Research Council. 1996. Database Needs for Modeling and Simulation of Plasma Processing. Washington, DC: The National Academies Press. doi: 10.17226/5434.
×
Page 18
Suggested Citation:"GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS." National Research Council. 1996. Database Needs for Modeling and Simulation of Plasma Processing. Washington, DC: The National Academies Press. doi: 10.17226/5434.
×
Page 19
Suggested Citation:"GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS." National Research Council. 1996. Database Needs for Modeling and Simulation of Plasma Processing. Washington, DC: The National Academies Press. doi: 10.17226/5434.
×
Page 20

Below is the uncorrected machine-read text of this chapter, intended to provide our own search engines and external engines with highly rich, chapter-representative searchable text of each book. Because it is UNCORRECTED material, please consider the following text as a useful but insufficient proxy for the authoritative book pages.

TOOL SCALE AND FEATURE SCALE MODELS 17 that would have occurred if the sputtering step had not been used. The simulation both allows an understanding of the causes of the void formation and provides a methodology to optimize conditions in such a way as to avoid the problem in practice. GENERAL ASSESSMENT OF MODELING STATE OF THE ART AND VISION OF FUTURE CAPABILITY AND IMPLIED NEEDS In summary, the current state of modeling can be described as well established in some regards, but immature in others. For tool scale models, the treatments of neutral gas mass transfer, fluid flow, and heat transfer, while complicated by relatively low pressures and associated problems with transition-regime and sometimes free molecular flow, are well developed fields. Treatments of electric discharge physics, including electron and ion kinetics, and electromagnetic phenomena in gas discharges, are less well developed, but the general strategies for attacking these problems axe fairly well established. If one were interested in modeling rare-gas discharges, one might conclude that most of the major complications had been identified and solution strategies developed, although of course not all problems have been solved. Similarly for feature scale models, profile surface advancement algorithms have been well developed, especially for two-dimensional or axisymmetric features. Progress is being made to extend these techniques to three-dimensional features. Two major issues challenge current capabilities in modeling low-pressure gas discharge plasmas for semiconductor manufacturing. The first is chemical complexity. This issue arises from the use of complex mixtures of halogen-containing gases, as well as other gases that are relatively little studied. The chemical scheme includes reaction products from chamber walls, photoresist reaction products, etching products, and slowly changing wall reactivities as films form on walls. Including these effects increases the difficulty of modeling by orders of magnitude. The second issue has to do with length scale disparities. The tool or wafer scale is tens of centimeters, but the microfeatures that one must control are on the order of fractions of microns. It is very difficult to measure (while the wafer is in the plasma tool) the quantities that one is most interested in controlling, such as the feature linewidth, the sidewall angle, the composition of trace species on the surface, or the introduction of chemical or mechanical imperfections that lead to electrical device failure or reliability problems. There is, in addition the difficult problem of the mid-scale between the feature scale and the tool scale. Little progress has been made to resolve mid-scale model formulation or solution. The need to deal with the first problem, chemical complexity, is the major motivation for the present report, because the way chemical complexity manifests itself in modeling is through the need for parameters to characterize the way the various species in the plasma interact with each other and with walls. This is true for models at all length and time scales. Any vision of future capabilities revolves around the central question of how one represents the chemical species in the plasma. In the immediate future, perhaps the next 5 years, it is not likely that models of industrial plasma processes will be developed that will seriously attempt to include all chemical species present, including all kinetic information (i.e. velocity and internal energy distributions). Furthermore, the proper characterization of the state of surfaces exposed to plasmas is sufficiently far from being fully understood that models of surface processes will necessarily be approximate. Fortunately, it is generally not necessary to make models so comprehensive. In order to make the problem tractable, one must choose some subset of all chemical species present and some approximate treatment of the interactions these species have with bounding surfaces. These choices, along with choices concerning dimensionality, how much of the surrounding environment and materials to include in the model, and how one treats the kinetics of the charged species and electromagnetic phenomena, all constitute the ''model.'' It is axiomatic in modeling that what should be included in a model can properly be judged only by what one wishes to do with the model. There is a wide range of possibilities, from well-mixed reactors that seek to

18 DATABASE NEEDS FOR MODELING AND SIMULATION OF PLASMA PROCESSING include a great deal of chemical complexity to three-dimensional, time-dependent models with minimal chemistry that aim to capture only physical phenomena like gas flow or heat transfer. Models that include chemistry make assumptions about which of the chemical species (several tens, probably, for common industrial chemistries) to include in the reaction mechanism. Each of these species is transported through the system via some selected set of transport mechanisms, but more importantly, is SJ plcos 8 Metal (a) 0 0.75 1.5 2.25 3 micron Ill ArSputter Im ptcos [] Metal = Q a.. ~ ·5 (b) 0.75 1.5 2.25 3 micron 2.5 • ptcos 1111 ArSpollcr ml picas 1.75 Ilill Metal 11111 void = Q a.. FIGURE 2.3 Optimized oxide deposition ·s ~ profile using sequential deposition and (c) argon ion sputter etching. (a) Initial (approximately confonnal) profile of 0.25 PECVD oxide in a metal trench. (b) Subsequent sputtering and redeposition of the oxide film. (c) Final deposition of oxide to achieve the desired void-free -0.5 film. (Courtesy ofV. Singh, Intel 0 0.75 1.5 2.25 3 micron Corporation.)

TOOL SCALE AND FEATURE SCALE MODELS 19 created and destroyed through a set of chosen reactions. The tens of chemical species present interact through perhaps hundreds of elementary gas phase and surface reactions. Parameters characterizing the rates of creation and loss of the chemical species in the model must be measured, computed, or estimated. It is likely that interactions between many species are synergistic: no single species is responsible for selectivity, for example. Interactions will depend on temperature, competing physical and chemical processes, and probably other factors that are unknown at present. Species present in low concentration may play a key role in the overall chemical balances and cannot be ignored simply because they are not one of the major species. In addition, surface chemical processes can be affected by small concentrations of species in the gas phase, because there are relatively few surface-active sites in comparison to typical fluxes from the gas phase. An important point in modeling is that in many cases a reduced set of chemical species and reactions will serve as a good approximation to the complete set. The goal is then to identify what this reduced set should comprise and how to determine the kinetic parameters that govern their interactions. The most promising way to develop the set of chemical species, their interacting mechanisms, and the parameters in the rate expressions (the "database") is to propose a model, and then critically compare model predictions to measurements. Iteration on the original mechanism will then improve the chosen set. Sensitivity analyses will help identify, within a given model, which of the processes are the most important. In some cases, critical comparisons between model predictions and diagnostics can help to determine the database. Other modelers, starting with a different initial guess of species and mechanisms, may iterate to a different final set. It might be helpful to provide a specific example of how this interaction might work in practice. Let us consider the case of fluorocarbon plasma etching of dielectric films, for example silicon dioxide. This is the largest single etching application in the microelectronics industry, but it is poorly understood and difficult to control. It has proven necessary to use a chemistry that results in polymeric film deposition in order to maintain a high selectivity between silicon dioxide and silicon. The fluorocarbon molecules tend to reduce the etching rate of silicon because the carbon remains involatile in the absence of oxygen at the surface. For a SiO2 surface, this problem does not exist and the fluorocarbon molecules are volatilized along with the silicon and oxygen, resulting in etching. It is thought that this polymeric film forms and is etched by a complex combination of neutral and ionic species interacting at surfaces. This mechanism suggests that developing a model for the system will be challenging, since it is likely that many fluorocarbon radicals and ions participate in the plasma-assisted polymer etching and deposition kinetics. It is important to understand that relatively little progress has been made in developing and testing mechanistic models of plasma chemistry under typical industrial conditions. In a typical high-density plasma oxide etching tool, there will be, in addition to the exposed oxide to be etched, photoresist, various wall materials such as anodized aluminum, other ceramic-like materials, and the deposited polymeric film mentioned above. The inlet gases might include C2F6, O2, and Ar, for example. Consider first the neutral species that might be involved in this plasma: all of the inlet gases, and all combinations of their dissociation products (e.g. CxFy, COFx, CO, CO2, O, Ar, C, F); all products of reactions between these species and the materials to be etched (e.g. SiFx, SiOxFy); all products of reactions between these species and the photoresist (hydrocarbon-based, with other species added for lithographic or other purposes); and, finally, products resulting from reactions between the chemically active species in the plasma and the reactor wall materials and/or the deposited fluorocarbon film. In addition to these neutral species (several tens), there will be many and various positive and negative ions formed from neutral species. Collision cross sections between the neutral species and electrons must be obtained. Ion-molecule rate coefficients are necessary to predict ion composition. Some species will no doubt be in excited states (vibrational and/or electronic). Ultraviolet photons released in the plasma could conceivably play a role in both gas phase and surface chemistry. Each of the proposed species has no doubt many individual reactions, both in the gas phase and especially at surfaces. Surface chemical reactions are difficult to model in the presence of the plasma because of the complicating role of energetic ions in modifying surface

TOOL SCALE AND FEATURE SCALE MODELS 20 composition and structure. Wall temperatures in the chamber often heat sufficiently to significantly affect reaction rates there. Even if we knew the 10 major chemical species in the plasma (in general we do not), it would be a major task to assemble the data necessary to model even the most important of the chemical reaction rate processes in the gas phase and at the surface. It seems premature at this stage to attempt to identify individual collisional processes (e.g., the cross section for electron impact dissociative excitation of CF2 into CF and excited F) as being especially important and worthy of attention above all the many other similar processes. In order to develop a database to model this system, it will be necessary initially to identify the key chemical species that participate in the main gas phase and surface reactions. One way to begin this process is to make spectroscopic measurements of as many of the radicals and stable species in a fluorocarbon plasma as possible. This might include sampling for a mass spectrometer, optical emission spectroscopy, laser-reduced fluorescence, ultraviolet absorption, and infrared (IR) absorption (with a Fourier transform infrared (FTIR) spectrometer or a diode laser) for the gas phase species. Surface species might be identified with reflectance-absorbance IR measurements, or with total internal reflection methods, or possibly some form of spectroscopic ellipsometry. Laser-induced desorption of surface species followed by one of the gas phase techniques can be a powerful surface diagnostic. Each of these measurement techniques could contribute information about plasma and surface composition, and changes in these compositions under varying conditions could give hints about possible mechanisms for interspecies conversions. Pulsing the plasma and observing the decay or growth of various species could provide further information about kinetics. Ideally, these measurements would be made in a plasma configuration that is easily modeled, and the identified species could be included in the model, along with electrons and the relevant positive and negative ions. Estimates of electron-neutral and ion-neutral collision cross sections, coupled with preliminary values for kinetic rate coefficients for gas phase and surface reactions, would be included in the model. The model would predict spatial profiles of various chemical species, and these profiles would be tested by direct comparison with measurements. The inevitable initial disagreements between model and measurements provide the basis for iterative improvement of the "first cut" database. As key species and processes are identified, this information would be made available to specialists in measuring specific collision cross sections (between, for example, electrons and radical intermediates) through specialized experimental techniques such as crossed electron-radical beam or electron swarm methods. Ion drift tubes have been used for many years to study the kinetics of selected ion-molecule reactions. Special vacuum chambers designed to measure radical-surface and ion-surface interactions can be used for plasma-surface interaction studies. In some cases, ultrahigh-vacuum beam-surface studies might be useful. These techniques are described in greater detail in subsequent chapters of the report. This vision of plasma model development implies several types of interactions within the plasma processing research community. Modelers will work directly with plasma diagnostic experimentalists to test their mechanisms and kinetic databases. Teams of modelers and plasma diagnosticians will also work directly with database experts: researchers measuring or computing electron-neutral cross sections, ion-molecule rate coefficients, radical-molecule reactions, radical-wall reactions, and ion-wall reactions. Since it is difficult and time-consuming to make accurate measurements or accurate computations of data, empirical methods to estimate or extend existing database parameters will be developed. In some cases, model results can be made consistent with measurements if collisional data have values in restricted ranges. In this way, models can be used to help determine parts of an improved database. Researchers will interact through the normal scientific channels of collaborative interactions, reporting through the scientific and technical literature and at scientific meetings, conferences, and workshops. Industrial research and development teams will participate in this process by playing important roles in helping to direct and influence academic and government laboratory researchers through feedback about what processes, chemistries, and problems are most important. Companies that are best able to utilize the

Next: REFERENCES »
Database Needs for Modeling and Simulation of Plasma Processing Get This Book
×
 Database Needs for Modeling and Simulation of Plasma Processing
Buy Paperback | $47.00 Buy Ebook | $37.99
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

In spite of its high cost and technical importance, plasma equipment is still largely designed empirically, with little help from computer simulation. Plasma process control is rudimentary. Optimization of plasma reactor operation, including adjustments to deal with increasingly stringent controls on plant emissions, is performed predominantly by trial and error. There is now a strong and growing economic incentive to improve on the traditional methods of plasma reactor and process design, optimization, and control. An obvious strategy for both chip manufacturers and plasma equipment suppliers is to employ large-scale modeling and simulation. The major roadblock to further development of this promising strategy is the lack of a database for the many physical and chemical processes that occur in the plasma. The data that are currently available are often scattered throughout the scientific literature, and assessments of their reliability are usually unavailable.

Database Needs for Modeling and Simulation of Plasma Processing identifies strategies to add data to the existing database, to improve access to the database, and to assess the reliability of the available data. In addition to identifying the most important needs, this report assesses the experimental and theoretical/computational techniques that can be used, or must be developed, in order to begin to satisfy these needs.

READ FREE ONLINE

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  6. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  7. ×

    View our suggested citation for this chapter.

    « Back Next »
  8. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!