National Academies Press: OpenBook

An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993 (1994)

Chapter: 4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY

« Previous: PART II - ASSESSMENT OF NIST'S MAJOR LABORATORIES
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

4

Electronics and Electrical Engineering Laboratory

PANEL MEMBERS

Gregory E. Stillman, University of Illinois, Chair

B. Jayant Baliga, North Carolina State University

Dennis D. Buss, Analog Devices

Blas Cabrera, Stanford University

Esther M. Conwell, Xerox Webster Research Center

Gary M. Davidson, TRW Space and Defense Sector

Daniel F. DiFonzo, Planar Communications Corporation

John V. Evans, COMSAT Laboratories

Douglas K. Finnemore, Iowa State University

William J. Gallagher, IBM T.J. Watson Research Center

H. R. Hoffmann, AT&T Bell Laboratories

Richard M. Josephs, Innovative Instrumentation, Inc.

Felix P. Kapron, Bellcore

Frederick J. Leonberger, United Technologies Photonics, Inc.

George A. Maneatis, Pacific Gas & Electric Company (retired)

Arthur A. Oliner, Polytechnic University (retired)

Don Parker, Hughes Aircraft Company

D. Howard Phillips, Semiconductor Research Corporation

Robert Stratton, Texas Instruments Incorporated

T. Peter Sylvan, Teradyne, Inc.

Hugo vifian, Hewlett Packard Company

Invited Participants

E. D. (Sonny) Maynard, Jr., EDM Strategies, Inc.

V. Thomas Rhyne, MCC

Thomas Shaffner, Texas Instruments Incorporated

Submitted for the panel by its Chair, Gregory E. Stillman, this assessment of the fiscal year 1993 activities of the Electronics and Electrical Engineering Laboratory is based on site visits by individual panel members, a formal meeting of the panel on May 5-7, 1993, in Boulder, Colorado, and the annual report of the laboratory.

LABORATORY OVERVIEW
Mission

The mission of the Electronics and Electrical Engineering Laboratory (EEEL) is to improve U.S. economic competitiveness, government operations, and public health and safety by providing essential measurement infrastructure, generic technology, and fundamental research in electronics and electrical phenomena of importance to industry, government, and scientific and

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

engineering communities. EEEL develops and disseminates measurement methods, theory, measurement reference standards (including the national primary standards for electricity, and materials reference standards), and calibration services to assure measurement traceability. Methodology and standards for measuring electronic and electrical materials, components, equipment, and systems operating over the electromagnetic frequency range from direct current to light are developed to the accuracy needed for research and development, manufacturing, marketplace exchange, and the operation of electronic and electrical products.

Strategy

EEEL's goal is to be the world's best source of fundamental and industrial reference measurement methods and physical standards for electrotechnology. To achieve this goal and to obtain optimum leverage in the context of NIST' s mission, EEEL stresses the provision of measurement infrastructure rather than the development of generic technology. EEEL argues that its measurement research and development leads naturally to substantial commercialization; e.g., at least 50 companies are known to have commercialized EEEL's results since 1978.

EEEL's current strategic plan, Measurements for Competitiveness in Electronics (NISTIR 4853; U.S. Department of Commerce, Washington, D.C., April 1993), is a revision of an earlier plan. To ensure relevance and validity, the plan was reviewed by industry. Because of the immense range of industry's needs compared with EEEL's limited resources, EEEL's plan also includes criteria for project selection and interaction with industry to select projects. EEEL plans to update its strategic plan again as soon as NIST has revised its strategic plan to reflect the Clinton administration's new role for NIST.

Based on EEEL's planning, increases in the fiscal year 1994 budget will be applied to work in semiconductors, microwaves, fundamental quantum standards, high-speed electrical and optical components, electronic data exchange for automated manufacturing, and digital imaging. NIST's fiscal year 1994 budget also includes initial funding for a NIST-wide magnetics program. The panel endorses EEEL's strategic planning process but notes that EEEL's aspirations far exceed its likely resources.

Resources
Funding

EEEL's operating budget for fiscal year 1993 was $44.3 million (up $3 million from fiscal year 1992). $24.3 million was appropriated by Congress for Scientific and Technical Research and Services (STRS), an increase of $1.7 million over fiscal year

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

1992. Congressionally appropriated funding is the only funding on which EEEL can base long-term plans.

NIST's Advanced Technology Program (ATP) provided EEEL significant additional funding in fiscal year 1993 to develop technical capabilities beneficial to ATP projects. ATP funds are of one to a few years' duration.

EEEL calibrations, which account for about 42 percent of NIST's total calibration service in dollars, provided an income of $2.2 million in fiscal year 1992, down about 15 percent from the previous year.

Other federal agencies, primarily the Department of Defense (DOD), provided $15.8 million in fiscal year 1993. Some of these other-agency (OA) funds were passed on to other NIST laboratories; the Office of Microelectronics Programs received $1.6 million to manage a NIST-wide semiconductor program, and the Office of Law Enforcement Standards received $0.1 million to manage another NIST-wide program.

EEEL's distribution of STRS funding among its divisions remains a matter of concern to the panel and EEEL management. For example, STRS funding provides only 32 percent of the Electromagnetic Fields Division' s total budget. EEEL attempted to increase the division's STRS funding through NIST's budget process in fiscal years 1992 and 1993 and plans to try to increase it again in fiscal year 1994.

Staff

EEEL entered fiscal year 1993 with a total staff of 354, with 67 percent professionals, about 51 percent of whom were at the PhD level. While EEEL's total staff count remained essentially unchanged (EEEL lost six senior-level full-time permanent staff members and gained eight), the number of professionals increased by six and the number of PhDs by seven. Forty-five guest scientists and industrial research associates were stationed in EEEL's laboratories. EEEL's staff count has remained stable for several years.

EEEL's staff received a NIST Crittenden Award plus six Department of Commerce Bronze Medals and one Silver Medal during fiscal year 1992. External recognition included Institute of Electrical and Electronics Engineers Fellow awards to four EEEL staff members, awards for best paper and for various other outstanding accomplishments, invitations to present papers, and prestigious committee appointments.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
ASSESSMENT OF STRATEGY AND PANEL'S RECOMMENDATIONS--FISCAL YEAR 1993
Comments on Strategy
  • EEEL strategies far outstrip the resources likely to be available to EEEL.

  • The Electricity Division, a keystone division of EEEL, is not sufficiently emphasized in EEEL's strategic plan, nor is the significance of its work clearly represented in Measurements for Competitiveness in Electronics (NISTIR 4583, April 1993).

  • Strategic planning for such things as impact on intrinsic standards and laboratory accreditation is inadequate or missing.

  • Such important services as instrumentation calibration and traceability to NIST units of measure are buried in the complex systems testing category rather than highlighted in the strategic plan.

Recommendations
  • As well as refine its process for selecting and funding new projects, EEEL should plan to phase out or terminate projects.

  • EEEL should become a node on the Electric Power Research Institute 's EPRINet to facilitate the work of the Applied Electrical Measurements Group.

(The Panel notes that EEEL's research groups and researchers are becoming much more aware of who is interested in their research projects and why.)

ASSESSMENT OF DIVISION PROGRAMS

The EEEL consists of the Electricity Division and the Semiconductor Electronics Division, located at Gaithersburg, Maryland; the Electromagnetic Fields Division and the Electromagnetic Technology Division, located at Boulder, Colorado; and the Office of Microelectronics Programs and the Office of Law Enforcement Standards, located in Gaithersburg (Figure 4.1).

The Office of Microelectronics Programs manages a NIST-wide response to the semiconductor industry's needs for measurement methods and data. The Office of Law Enforcement Standards provides NIST-wide technical services to the U.S. Department of Justice, to state and local governments, and to selected other agencies in support of law enforcement activities.

The panel reviewed the programs of the above offices but did not formally assess their performance.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

FIGURE 4.1 Organization and structure of NIST's Electronics and Electrical Engineering Laboratory.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Electricity Division
Mission

The EEEL's Electricity Division consists of the Electrical Reference Standards Group, the Fundamental Electrical Measurements Group, the Applied Electrical Measurements Group, and the Electronic Instrumentation and Metrology Group.

The Electricity Division maintains and improves the national standards of electrical measurement; develops stable electrical standards for the dissemination of the units of electrical measure and disseminates such units; conducts experiments to realize the electrical units in terms of the International System of Units (SI units); determines fundamental physical constants related to electrical units; develops and evaluates measurement methods and services to support electrical materials, components, instruments, and systems used for the generation, transmission, and detection of conducted electrical signals and energy; conducts research and develops measurement and calibration methods and measuring instruments for use in the laboratory, on the production line, in the marketplace, and in the field; develops numerical data required for understanding the fundamental electrical phenomena and for the application and specification of electrical systems and their components and for the interpretation of resulting measurements; disseminates and fosters application of the research and development outputs for the marketplace exchange of electrical and electronic components, modules, and instruments, and for the enhancement of performance and reliability of the systems in which they are applied; assists in applying scientific and technological development to measurement problems in industry, science, and other areas of NIST research; and in certain areas, such as digital imaging and product description in digital form, develops and provides the technical base in support of consensus standards.

Panel's Findings, Conclusions, and Recommendations--Fiscal Year 1993

Division Funding. Adequate STRS funding is a continual concern of the Electricity Division. Core funds have been relatively flat, without adjustments for inflation, for several years. Of more significance is the rapid reduction in support provided by the DOD for calibrations (nearly 50 percent for the division from fiscal year 1992 to fiscal year 1993). Alternate support is needed to maintain the division's calibration services.

Calibration Laboratory Accreditation. NIST's National Voluntary Laboratory Accreditation Program (NVLAP) for accrediting U.S. measurement laboratories is based in part on technical input from the Electricity Division. NVLAP removes nontariff trade

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

barriers, thus improving international competitiveness, and reduces the costs of testing U.S. products and services.

The relationship between the Electricity Division and NVLAP for providing technical direction for NVLAP has not been formalized, even though the Electricity Division must make recommendations that (1) are acceptable internationally and to government agencies, (2) do not go beyond minimum requirements, and (3) add value to the accreditation. To add value, the accreditation must be cost-effective and affordable and must maintain or improve the U.S. measurement system.

Division Quality Improvement Program. The Electricity Division lacks a documented or consistent quality improvement program. Quality improvement programs in industry improve measurement assurance, competitiveness, effectiveness of research and development activities, and the quality of goods and services.

Electrical Reference Standards Group. The Electrical Reference Standards Group maintains national physical standards for electrical measurement, provides measurement services for basic electrical units, and develops stable standards and measurement methods for dissemination of electrical units. The group develops standards for and calibrates instruments for measuring direct current (dc) voltage, resistance, and impedance, and alternating current (ac)/dc difference; maintains the Measurement Assurance Program for dc voltage, resistance, and capacitance, and ac/dc difference calibrations; and improves physical measurement standards and calibration methods as needed by industry and science.

Strategies. The Electrical Reference Standards Group maintains and advances basic standards to meet such continuing needs of industry, government, and academia as reducing measurement uncertainties, improving stability, and extending the range of services.

Although the group anticipates user needs through participation in industry associations, frequent visits to cliental laboratories, and response to industry surveys, meeting those needs in a timely manner is not always possible due to limited resources.

Resources. Although the staff of the Electrical Reference Standards Group is competent and enthusiastic, morale has suffered as a result of funding uncertainties and changes in NIST's charter. The staff has been reduced, and the technical breadth of services provided by the group has increased. Some of the important nationwide services provided by this group depend on a single individual. Should that individual leave, retire, or transfer, the services would be jeopardized. It is evident that the staff is spread too thin.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Measurement Services. Despite limited resources, significant improvements are being made by the Electrical Reference Standards Group in the delivery of measurement services. The Impedance Measurement Program, which was on the verge of not being able to perform due to obsolete and unrepairable equipment, has been modernized, and its services are no longer at risk. The Capacitance Measurement Assurance Program, discontinued several years ago due to loss of capability, has been upgraded and reintroduced to improve capacitance measurements in industry.

Gains being made in ac/dc transfer standards promise to reduce ac/dc transfer uncertainties at 1 MHz from 70 ppm to, possibly, 10 ppm. Acquisition of a workstation has improved design capability. The latest designs might be applicable for use in the front end of digital multimeters. This would allow ac accuracies in digital multimeters to approach dc accuracies--a major breakthrough. Resistance Standards and measurement methods in the trillion (tera)-ohm range and ac resistance are both progressing. Services in this area are nonexistent or inadequate, even though these measurements are commonplace in industry.

Intrinsic Standards. Within the next 20 years, intrinsic standards (standards based on natural phenomena) will be commonplace in industry's calibration laboratories. As intrinsic standards evolve, the role of the Electrical Reference Standards Group will have to be examined. Intrinsic standards for voltage measurements are, on the one hand, already reducing industry 's dependence on NIST; if, on the other hand, the sophisticated instrumentation involved is not properly set up or operated, degradation of the U.S. measurement system could result. Measurement assurance methodology will be needed to ensure that measurement system degradation does not occur. NIST must continue to maintain traceability to basic units of measurement while intrinsic standards are being incorporated. The dilemma is that NIST's funding for providing calibration services will be reduced as industrial dependence decreases.

ac-dc Difference Standards and Measurement Techniques. The Electrical Reference Standards Group finished the design of and fabricated eight newly conceived thin-film micropotentiometers. The instrument is being redesigned for improved performance. The group also designed three new single-voltage-range micropotentiometers with trifilar heaters and a new multirange device. Production and testing of new low-capacitance, thin-film multijunction thermal converters were completed. These developments should lead to commercial applications in digital multimeter instrumentation that could increase measurement accuracies by an order of magnitude or more.

Resistance Standards and Measurements. The Electrical Reference Standards Group made the first measurements of three

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

quantum Hall effect specimen devices using the new system installed in the group's resistance calibration laboratory. It also completed, tested, and calibrated the new inductive voltage divider for ac resistors. The bridge's binary inductive voltage dividers were calibrated, giving corrections of less than 0.05 ppm at 100 Hz and 0.12 ppm at 1 kHz.

Group staff discovered that variance in resistance in standards common to industry due to the pressure coefficient is significant in laboratories trying to maintain standards in the uncertainty range of 0.2 to 0.3 ppm. An altitude change of 1 mile can vary the resistance by as much as 0.1 ppm.

Fundamental Electrical Measurements Group

Mission and Objectives. The Fundamental Electrical Measurements Group realizes electrical units in terms of the SI units, determines fundamental constants as related to electrical units, and maintains electrical units in terms of intrinsic standards, which in turn are based on fundamental constants.

The group currently maintains and improves the electrical units of capacitance (farad), resistance (ohm), current (ampere), and voltage (volt). In addition, the group improves the fundamental understanding of the U.S. system of units by testing their self-consistency through precise experiments, such as the measurement of the gyromagnetic moment of the proton.

Fundamental electrical measurement services provide the underlying traceability for all U.S. electrical measurement standards and must form a strong base for commercial electronic instrumentation in the United States if the nation is to compete with high-technology countries such as Germany and Japan.

Strategy. The centerpiece of the Fundamental Electrical Measurements Group 's strategy for meeting its program objectives is the quantum standards and research initiative, proposed by the EEEL to establish a new generation of standards, i.e., intrinsic standards based directly on quantum mechanical physical quantities. Additional research will be done to understand why the accuracy of the quantum Hall effect resistance is so high as well as to develop guidelines for the maintenance of this new standard.

Work currently under way in the Fundamental Electrical Measurements Group, as well as the Cryoelectronic Metrology Group in the Electromagnetic Technology Division at NIST Boulder, may lead to quantum standards for capacitance and for current that are similar to those already developed for voltage and resistance.

Status and Progress. The internationally recognized Fundamental Electrical Measurements Group maintains the devices and techniques that form the basis for all units of electrical measures used throughout the United States. Group staff lead the

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

world in several of the group's measurement techniques and in the precision and accuracy of a number of instruments and devices. The farad is known through the calculable capacitor at the 0.01-ppm level.

The ohm standard is a room-temperature resistor known at the 0.03-ppm level. Good progress is being made on maintaining the U.S. ohm standard via the quantum Hall effect. Progress has continued on improving quantum Hall effect devices for resistance measurements. The comparison of resistance measurements made with a quantum Hall effect device made of GaAs compared with measurements taken with a device made of silicon (in the form of a metal oxide semiconductor field-effect transistor) agreed within 4 parts in 10 billion.

The project to determine the ampere directly from the definition of SI units is progressing well. A factor-of-3 improvement has been obtained over fiscal year 1992, and the SI-based direct ampere is now known to a level of 0.1 ppm.

A strong effort is under way to investigate single-electron tunneling devices for current standards. Thin-film devices are being made at the Cornell National Nanofabrication Facility and in collaboration with the Cryoelectronic Metrology Group. As this program matures, it is likely to lead to a quantum current standard that could be used in conjunction with the SI ampere apparatus, much as in the case of the relationship between the quantum Hall effect resistance and the ohm.

Josephson junction arrays define the volt in terms of frequency. A comparison of voltage standards using NIST's Josephson junction arrays with an array voltage standard at the International Bureau of Weights and Measures indicated an agreement at the 0.1-ppb level, an impressive result. This research is coordinated with voltage calibrations by the division's Electrical Reference Standards Group based on zener diode secondary standards. In addition, a strong tie exists with the Cryoelectronic Metrology Group, the source of successful 10-V Josephson array standards. The commercialization of this technology is being realized through collaboration with industry; however, much research is still necessary to better understand Josephson arrays and to develop additional applications such as ac volt measurements, ratios, and microwave couplings. Also, research on the use of high-temperature superconductors for Josephson junctions could lead to junctions that operate at liquid-nitrogen temperatures.

Research on quantum standards in the Fundamental Electrical Measurements Group could lead to a quantum-based kilogram, thus removing the last of the artifacts from the primary standards. Despite the development of intrinsic standards, artifacts will continue to be used as secondary standards whenever the cost of the intrinsic primary standards is high. The secondary standards will be calibrated against the quantum standards to eliminate drift in the values over time, as occurred, e.g., in the case of the ohm.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Resources. The trend over the last decade toward full rather than incremental cost recovery for calibration services has placed a significant fraction of the fundamental standards program on “soft” support. The decreases in defense spending have resulted in about a 50 percent reduction in resources for coordinating calibrations and threaten to force staff reductions in the maintenance of critical standards. Also, each of the quantum standards research projects is underfunded.

Recommendations
  • Fundamental electrical measurements should be more visible in EEEL 's strategic plan. Currently, fundamental electrical measurements are hidden under the complex systems testing category.

  • Categories such as “Instrument Calibration Services” and “Traceability to NIST Units” should be added to the strategic plan.

  • A comprehensive section should be added to Measurements for Competitiveness in Electronics (NISTIR 4583, April 1993) on the NIST electrical calibration services and on the traceability of units to NIST standards.

  • As the Panel recommended in its fiscal year 1991 report, a Fundamental Constants Committee should be formed to coordinate fundamental constants work NIST-wide. Committee membership should include staff from the Fundamental Electrical Measurements Group, the Cryoelectronic Metrology Group, and the Time and Frequency Division of the Physics Laboratory, as well as from NIST's Standard Reference Data Program and the division 's Precision Measurement Grant Program, and should establish a working subgroup for the maintenance of the fundamental constants. An annual report should highlight progress made in the research and instrumentation and publicize Precision Measurement Grant selections and results. In addition, the committee should sponsor conferences and workshops for the wider scientific and standards communities. (A third conference in the Precision Measurement and Fundamental Constants series is overdue. The first two conferences of the series occurred in 1970 and 1981.)

Applied Electrical Measurements Group

Mission and Objectives. The Applied Electrical Measurements Group develops theory, methods, and physical standards for the measurement of electrical quantities in advanced high-voltage and high-power systems and contributes to measurements and performance standards required for digital imaging and electronic product specification.

The group is currently adopting a broader role that includes video standards, product data exchange, and automated electronic

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

manufacturing in addition to its traditional support of the utility industry. In doing so, the group is remaining attentive to the needs of the utility industry. For example, work with the Electric Power Research Institute (EPRI) is considered particularly relevant.

Status and Progress. The Applied Electrical Measurements Group currently has four measurement projects (electric and magnetic fields, pulsed power, power quality, and power/energy and ac/dc high-voltage and high-current measurements) that relate to the group's basic mission, three research projects (gaseous dielectrics, liquid dielectrics, and partial discharge and plasma chemistry plasma processing) that are supported primarily by external funding, and two projects (digital information processing and automated electronics manufacturing) that are new program areas.

The Applied Electrical Measurements Group is evolving from its basic role of developing theory, methods, and physical standards of primary interest to the electrical utility industry, to the broadened role of incorporating video standards, product data exchange, electronic commerce, and electrical metrology for the automotive industry.

Digital information processing is a well-chosen growth area for the group. The work on flat panel modeling and the use of the Princeton Engine should be better publicized.

As the product data work within the automated electronics manufacturing project expands, it should be better coordinated with NIST's other product data work, e.g., with Product Data Exchange Specification using the Standard for the Exchange of Product Model Data (PDES/STEP). Better understanding of the emerging Standard for the Exchange of Product Model Data within the electric and electronic communities is needed. The work on harmonization and electronic commerce within this same project is also important. Current funding is inadequate to meet the broad industrial needs.

Resources. The four fundamental research projects are doing well, but projected losses of external funding and possible loss of internal funding are a concern, especially since projected growth in funding for the Applied Electrical Measurements Group is directed toward other project areas. The externally supported projects are also progressing well, but planning for task completion (or for loss of external support) is critical, since staff skills may not be easily transferred to other group projects. The excellent video standards project should be better publicized so as to attract more industrial attention. The product data standards project is also a well-chosen growth area. Close cooperation with other related research within NIST and with industry is important. The opportunity exists for the Applied Electrical Measurements Group to play an important role in the electric and electronics industry as a test and

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

verification center and facilitator of standards for electronic commerce.

Current facilities are adequate, although projected losses in external funding for several of the group's basic projects may create problems in maintaining staffing levels and laboratory facilities for some projects. New funding for the group is directed primarily to projects in new areas, making the allocation of STRS funding within the group a critical issue for ensuring stability of the core activities.

Recommendation. The Applied Electrical Measurements Group and its projects should develop contingency plans.

Electronic Instrumentation and Metrology Group

Measurements for Complex Electronic Systems. This project increases the productivity of high-cost automatic test equipment systems by reducing the test time of complex analog and digital integrated circuits, and it enhances product quality by increasing test coverage, thereby reducing the number of defective devices that pass a final test. Although the major focus of this work has been complex systems, simpler or older devices have also been developed; e.g., the accuracy of thermal transfer standards has been improved.

Recommendation. The Electronic Instrumentation and Metrology Group should expand its dissemination of the techniques developed for measuring complex electronic systems, e.g., by initiating college and professional tutorial courses in the measurement of complex electronic systems. The Stanford university Center for Reliable Computing might be a good host for such a course.

Waveform Acquisition Devices and Standards. This project is proceeding well and has adequate staff and funding.

Generation and Measurement of Precise Signals. This project is proceeding well and has adequate staff and funding. A candidate for project extension is the frequency range of arbitrary waveform generators.

Phase Angle and Power/Energy Standards. This project is proceeding well and has adequate staff and funding.

Optoelectronic/Electro-optic Technoloqy. Establishment of the new laser optics laboratory provides an important extension to the existing laboratory technology to meet industry needs for calibration of ultrahigh-speed instrumentation. Calibration of sampling scopes in the 50-GHz region will match the capabilities of the United Kingdom's standards laboratories. Extension of the frequency range to 100 GHz is needed to meet instrumentation

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

needs in optics, communications, and computers in the next 3 to 5 years.

Recommendation. The Electronic Instrumentation and Metrology Group should consider a new initiative on time measurement and generation with the goal of improving accuracy, linearity, and time jitter by a factor of 10 to 100. These capacities are needed to surmount barriers in a variety of areas ranging from digital signal processing applications to instrumentation for testing of next-generation digital devices. The need is critical within both NIST and U.S. industry.

Semiconductor Electronics Division
Mission

The Semiconductor Electronics Division develops and evaluates measurement methods, data, reference artifacts, models and theory, and associated technology to remove market barriers associated with the use of semiconductor materials and with the design, fabrication, characterization, and performance assurance of semiconductor devices and integrated circuits.

The division conducts research in semiconductor materials and processes, devices, and integrated circuits to provide, through both experimental and theoretical work, the necessary basis for understanding measurement-related requirements in semiconductor technology.

The division disseminates and fosters application of technical results and assists in the development of standardized test methods and standard reference materials to enhance the quality, performance, and reliability of semiconductor materials, devices, and integrated circuits to aid in manufacturing productivity and in the development, transfer, and exploitation of semiconductor technology for the public's benefit.

Semiconductor Electronics Division Responses to Fiscal Year 1992 Recommendations

Strategic Planning Issues--Silicon. NIST has become a major player in charting the direction to be taken by the semiconductor industry through its participation in the Semiconductor Industry Association (SIA) Technology Committee and workshop and the International Workshop on Process Control Measurements for Advanced Integrated Circuit Manufacturing. The coordination of NIST, the semiconductor industry, Sandia National Laboratory, the DOD, the Department of Energy national laboratories, and SEMATECH is improving. In response to this coordination and to recommendations made by the panel in the 1992 assessment, the Semiconductor Electronics Division has begun to refocus its program.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Specific actions in response to the panel's fiscal year 1992 recommendations involving silicon included:

  • Greater emphasis on ultrathin thickness measurements of oxides and studies of the silicon-oxide interface by ellipsometry.

  • Surveys of industry needs for thin-film studies, optical characterization, and studies of ion dosimetry.

  • Initiation of work to develop accurate measurements of two- and three-dimensional doping profiles, as recommended by the SIA workshop report. Included is the development of scanning capacitance microscopy, which is important because it can avoid the contamination that results from the physical contact involved in probing test structures.

  • Development of better test structures for measuring overlay. Currently, test structures can measure overlays to 7 nm. Future work involving closer feature spacing will be done with the scanning tunneling microscope and in collaboration with the Sandia National Laboratory.

  • Greater emphasis on studies of interconnects and the electromigration that causes them to deteriorate.

Strategic Planning Issues--Compound Semiconductors. In fiscal year 1992, the panel reported that, although the molecular beam epitaxy work was off to a good start in having produced successful laser diodes and quantum Hall structures, the III-V semiconductor work lacked a customer-focused strategic plan (An Assessment of the National Institute of Standards and Technology Programs, Fiscal Year 1992, p. 59). Since then, efforts have been made to set up such a plan. In addition to providing the above structures, the molecular beam epitaxy work is being reoriented to develop (1) in situ nondestructive diagnostics for the molecular beam epitaxy films, using optical tools such as Raman spectroscopy, x-ray fluorescence, and high-energy electron diffraction; and (2) fabrication and metrology for nanolithography.

Status and Progress--Fiscal Year 1993

Power Device and Thermal Measurements. A dynamic electrothermal model for insulated gate bipolar transistors was developed and successfully applied in a commercial power circuit simulation software package. Designers of power electronic systems have been favorably impressed with the model for use in motor drives for heating, ventilation, and air conditioning. In the future, the model will have an impact on the design of the power train in electric cars and high-power uninterruptable power supplies. An expansion of this project to include new power devices and power integrated circuits under development by industry is an appropriate goal, given current divisional plans.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Test Structure Methodology for Advanced Semiconductor Manufacturing. The Semiconductor Electronics Division not only leads in semiconductor metrology but also provides leadership to the entire semiconductor industry through participation in the situation analysis and planning of the Semiconductor Research Corporation, SEMATECH, and the SIA. As a follow-up to the 1993 SIA workshop report (Semiconductor Technology--Workshop Conclusions, SIA, 1993), NIST and the Sandia National Laboratory should develop a memorandum of understanding for joint work in support of the semiconductor industry.

The silicon-on-insulator material and device measurements project has been supported by the Department of Defense, whose primary interest is radiation-hardened integrated circuit technology. Test structures for evaluation of the silicon layers and the underlying oxide are under investigation. This work has produced useful contributions to radiation-hardened integrated circuit technology. However, serious concerns about future DOD funding have been raised. Appropriate plans have been implemented to reorient the project to commercial applications. It is commendable that ties have already been made with a commercial supplier of separation-by-implanted-oxygen material and a commercial chip manufacturer.

Molecular Beam Epitaxy Growth Technology. The Semiconductor Electronics Division has stated that molecular beam epitaxy is needed in-house to support the development of measurement tools. Also, the division is bringing more characterization tools (x-ray diffraction, scanning tunneling microscopy, atomic force microscopy, scanning capacitance microscopies, x-ray fluorescence) to bear on its technical problems. The Semiconductor Electronics Division should accelerate its trend toward more characterization. Continuity of the division's characterization research will transcend parallel intermittent efforts of industrial laboratories.

In GaAs, AlGaP structures are applications driven, but GaAs on Si is not as promising as it once appeared. Crystal defects arising from the 4 percent lattice mismatch and thermal stresses are the bases for technical complications and for a growing consensus within the research and development communities that the GaAs-on-Si technology will not prove to be cost-effective.

HgCdTe Infrared Detectors. In response to degradation of HgCdTe infrared detectors in a National Oceanic and Atmospheric Administration Geostationary Operational Environmental Satellite (GOES), a system that collects data for weather forecasting, the Semiconductor Electronics Division investigated the characteristics and performance of HgCdTe infrared detectors under development for satellites. Detector bonding and packaging quality were evaluated, and the detector passivation-layer parameters were characterized by magnetotransport measurements.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

The division also evaluated industry-wide use of test structures in the manufacturing of infrared detectors. The division published a report, HgCdTe Detector Reliability Study for the GOES (NISTIR 4687, September 1991), on its reliability study for the detectors and conducted an industry survey on the importance and use of characterization measurements for infrared detector manufacturing. The Semiconductor Electronics Division plans to (1) perform magnetotransport and other characterization measurements and analyses on the detectors to understand the nature of the passivation techniques, (2) assist contractors in reliability tests of detectors involving various passivation processes involving magnetotransport techniques, (3) evaluate photoreflectance as a nondestructive tool for determining the surface potentials of the detector elements to check on uniformity across an array, (4) transfer NIST test-structure and packaging methodologies to detector companies for use in quality control, (5) interact with industry to develop and transfer measurement protocols for process control and verification, and (6) develop scanning capacitance microscopy as a wafer-screening and diagnostic tool.

Electrical Characterization. The spreading resistance methodology developed in the Semiconductor Electronics Division has progressed, and the standard reference materials that have been certified provide a technical advantage for evaluating the scanning capacitance probe.

Optical Characterization. Work in Fourier transform photoluminescence has established the Semiconductor Electronics Division at the leading edge of detection of impurities in semiconductor materials. However, because photoluminescence detects only electrically active species, other methodologies for detecting impurities must not be overlooked. In particular, the neutron activation analysis facility available at the NIST research reactor (NBSR) offers a synergistic way to quantify impurities at the parts-per-billion level.

The ellipsometry effort continues to be outstanding. Oxide interface modeling and in situ sensor efforts are in good alignment with industry needs. Other methods (Raman, photoreflectance, Fourier transform infrared) are proceeding nicely as well.

Panel's Findings and Recommendations--Fiscal Year 1993

Strategy. Supporting Technology for U.S. Competitiveness in Electronics (NISTIR 4714; U.S. Department of Commerce, Washington, D.C., November 1991), the EEEL's strategic plan for semiconductors, was clear-cut and reflected insight into the needs of the silicon industry. Most of the projects of the

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Semiconductor Electronics Division's programs are consistent with this plan, including the projects on electrical characterization, thin-film standard reference materials, integrated measurement systems, test structures for reliability, test structure methodology for advanced semiconductor manufacturing, intelligent test structure methodology, and optical characterization.

However, projects such as molecular beam epitaxy growth technology, characterization and evaluation of HgCdTe infrared detectors, silicon-on-insulator material and device measurements, and power device and thermal measurements do not seem to directly address the division's strategic plan.

The HgCdTe and silicon-on-insulator projects are responsive to OA contracts that cause the division to deviate from its strategic plan.

Recommendation. Research on power devices and molecular beam epitaxy should continue to be done, but a broader strategic framework should be developed to encompass the research on HgCdTe and silicon-on-insulator materials.

The Semiconductor Electronics Division is adopting the SIA workshop report (Semiconductor Technoloqy--Workshop Conclusions, SIA, 1993) as an authentic projection of the direction in which the semiconductor industry should head over the next 15 years.

Recommendation. The panel accepts the SIA workshop report as the best vision of the future of semiconductor technology that the U.S. semiconductor industry has but warns that judgment should be exercised in accepting the SIA workshop report's conclusions, which, in effect, predict an unabated semilogarithmic progression toward smaller chips until at least 2007. Questions that need to be addressed include the following: (1) Will technology be able to fabricate for production at 0.1-µm dimensions by 2007?, and (2) Can defect density be controlled to 0.002/cm2 (barely 1 defect per two full 8-inch wafers) by 2007?

In the past, the vision for compound semiconductors has been defined largely by defense objectives, but alternative civilian applications are emerging. Molecular beam epitaxy and chemical beam epitaxy efforts in the Semiconductor Electronics Division and the Electromagnetic Technology Division are well aligned with interests of the technical community, but long-term goals are poorly defined relative to the 1993 SIA workshop report.

Recommendation. The division should encourage the semiconductor industry to hold an SIA conference for compound semiconductors in order to further explore these issues.

The Semiconductor Electronics Division's strategy of providing metrology tools for molecular beam epitaxy, although

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

sound, has been driven more by customer demand and a desire for metrology competency than by strategic planning. In fact, close customer coupling is evident in all aspects of Semiconductor Electronics Division activities and is cited as a cornerstone of EEEL strategic planning.

Recommendation. The Semiconductor Electronics Division should preserve a strategic balance in its activities in the face of customer pressures that would drain intramural resources from more isolated but strategic programs. Extramural (Advanced Technology Program) funding could also become a drain on strategic resources.

Scanning-probe methodologies are proliferating. This is truly a revolutionary technology, with profound implications for the metrology missions of the Semiconductor Electronics Division and EEEL. The obvious direct metrology application seems to be less emphasized; e.g., the semiconductor industry already needs dimensional fabrication standards with a precision of ± 0.2 nm. Capacitance and magnetic probes are only two of many variants of scanning probe metrology.

Recommendation. The division should explore simpler alternatives to NIST's molecular measuring machine (M3) project (in the Manufacturing Engineering Laboratory) for providing nanometer standard reference samples in the coordinates X, Y, and particularly Z (e.g., could monolayer steps on mica serve as standard reference samples?).

Recommendation. Research on highly precise scanning tunneling microscope metrology of nanometer-scale devices, rather than on scanning tunneling microscope lithography, should be expanded. Such devices will have application within NIST for quantum standards and within industry for advances in quantum-based nanoelectronics.

Strategy--Compound Semiconductors. The EEEL's strategic plan for semiconductors (NISTIR 4714, 1991, pp. 10-13) addresses the needs of the silicon semiconductor industry but does not adequately address the needs of the compound semiconductor industry. There is no wide consensus within the semiconductor industry about the future of and the need for compound semiconductor technology.

The proposed development of magnetotransport measurements and theory for calculating properties for compound semiconductors is useful. Nevertheless, the division needs to further develop its strategic plan for III-V activities. The present strategic plan in support of the U.S. compound semiconductor industry is unclear to the panel. The division should marshal the principal industrial players to formulate such a plan and set up a road map, as was done for the silicon semiconductor industry. The

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

III-V compounds will almost certainly be important for advances beyond the 0.1-µm dimensional limits of silicon integrated circuits.

Recommendation. The panel urges the Semiconductor Electronics Division to provide national leadership by defining an insightful strategic plan for its compound semiconductor research.

Silicon Technology. Despite EEEL's significant contributions in the areas of standard test structures for Si integrated circuit device characterization and standard reference materials for measurement of line width, oxide thickness, resistivity, and other features, there is now a need for more sophisticated test structures and standard reference materials as highlighted in the 1993 SIA workshop report. In view of the importance of the Si integrated circuit industry to U.S. competitiveness and economic well-being (the U.S. silicon semiconductor industry has sales in excess of $30 billion and employs more than 300,000), the panel believes that the Semiconductor Electronics Division's programs are underfunded.

Recommendation. The Semiconductor Electronics Division should continue to generate initiatives based on specific needs of the semiconductor industry.

Collaboration. The Semiconductor Electronics Division cooperates extensively with outside organizations such as Sandia National Laboratory, SIA, and SEMATECH, a consortium of the division's principal customers.

Recommendation. The Semiconductor Electronics Division should increase its collaboration with SEMATECH as an efficient way of increasing its collaboration with its principal customers.

Device Modeling.The Semiconductor Electronics Division's device-modeling activity has been limited to a narrowly focused niche, i.e., the insulated gate bipolar transistor. There is a need in the Si integrated circuit industry for standardized circuit simulation models for mainstream complementary metal oxide semiconductor and bipolar complementary oxide semiconductor devices. This work would tie in nicely with the work on standard test structures.

Recommendation.The Semiconductor Electronics Division should extend its modeling (including modeling of thermal effects) to mainstream complementary metal oxide semiconductors and bipolar complementary oxide semiconductors.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

Silicon-on-Insulator Materials and Device Measurements. A new project has been initiated to characterize separation-by-implanted-oxygen (SIMOX) material. The quality of this material is improving rapidly due to process improvements. In addition, another dielectric isolation approach, direct wafer bonding, is regarded as a competitive alternative. Development of techniques to characterize dielectrically isolated silicon layers and the underlying insulating film is a useful objective for this project.

Recommendation. Due to uncertainty in the long-term application of silicon-on-insulator device technology to very-large-scale integrated circuit digital complementary metal oxide semiconductor technology, the project should be given a relatively low priority.

Power Device and Thermal Measurements. The division's internationally recognized, unique expertise in electrothermal characterization techniques for power devices should be maintained due to its relevance to the emerging use of thermal feedback on the control of power equipment. Although most of the power device and integrated circuit market is served by offshore manufacturers, the NIST program can make an important contribution by developing standardized techniques for the evaluation of power devices for the domestic electronic systems manufacturing industry. A prime example is the automobile industry, which requires well-characterized power devices for the development of the electric car.

Recommendation. Align the power device and thermal measurements projects with the electric-car project in the Electricity Division.

Electromagnetic Fields Division
Mission

The Electromagnetic Fields Division conducts basic and applied research on the interaction of electromagnetic radiation with devices and materials in order to improve measurement services. Development and evaluation of measurement methods and standards needed to characterize the mechanisms and output of electromagnetic sources, receivers, and other passive and active devices are emphasized.

Resources

During fiscal year 1992, there were 88 full-time equivalent staff in the Electromagnetic Fields Division, including 56 professionals, 18 PhDs, and 2 guest researchers. The total

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

division funds were $10.86 million, of which 63 percent was from OA funding and other services.

Objectives and Projects

Principal program areas include microwave and millimeter wave metrology for continuous-wave transmission-line measurements; broadband microwave metrology for noise, time domain, and dielectric measurements; antenna metrology; and fields and interference metrology. The measurement services of these program areas account for over 20 percent of all NIST's fees from calibration and special-test services. These services and associated standards provide a consistent base of measurements to enable multiple contractors in the defense, aerospace, communications, and related industries to assemble complex systems and perform stringent performance assessments.

The Microwave Metrology Group develops standards and techniques for transmission-line measurements of power, reflection coefficient, impedance, attenuation, phase shift, and scattering parameters of n-port devices from 100 kHz to 110 GHz. The six-port technology developed in the division is being used to automate the measurement and calibration processes. Research is under way to develop measurement methods and standards that will support the expected growth in monolithic microwave integrated circuit and millimeter wave technologies.

The Broadband Microwave Metrology Group develops techniques and standards for measuring noise and the dielectric properties of materials. NIST has developed automated radiometers and new millimeter wave noise standards, which will provide continuous frequency coverage and fill in service gaps. Reliable techniques for measuring the complex permittivity, reflectivity, and other aspects of dielectrics are being developed, and a laboratory has been established for measuring the electromagnetic properties of materials. The high-speed pulse program was transferred to the Electricity Division and combined with similar work there.

The division's Antenna Metrology Group develops reliable techniques and standards for measuring key performance parameters of antennas and components used with satellites, earth terminals, radars, and communication systems. A major contribution was the invention and development of techniques to enable far-field antenna performance to be determined from near-field measurements. Significant progress has been made by installing a precision near-field spherical and cylindrical antenna range and in monolithic microwave integrated circuit and materials technology.

The Fields and Interference Metrology Group develops measurement techniques and standards for characterizing electromagnetic environments and electromagnetic interference. Typical studies emphasize modeling the electromagnetic environment, developing broadband continuous-wave field probes, generating reference electromagnetic fields, characterizing

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

shielding effectiveness, and devising techniques for evaluating electromagnetic emissions from electronic equipment and immunity of electronic equipment to electromagnetic fields.

Electromagnetic Fields Division's Response to Fiscal Year 1992 Recommendations

Because of projected reductions in DOD funding, the Electromagnetic Fields Division needs to adapt to a changing customer base, as pointed out inthe panel's fiscal year 1992 assessment (p. 70). The Electromagnetic Fields Division has reorganized, reduced its staff, and downsized projects (as outlined in the draft copy of “Electronics and Electrical Engineering Laboratory 1993 Program Plan--Supporting Technology for U.S. Competitiveness in Electronics,” EEEL, U.S. Department of Commerce Technology Administration, NIST, April 1993).

Status--Fiscal Year 1993

The staff's mindset and expertise are consistent with the division's mission, and the staff appears to function well as a team. Facilities are excellent. Division staff members are recognized as leaders in standards and technology development and internationally as leaders in microwave measurements and radiated and guided fields, and in the field of electromagnetic theory and analysis. The division has downsized and reorganized to better utilize its resources and to focus on high-priority projects. Additional STRS funding on a long-term basis would greatly reduce staff concerns and would allow for better utilization of principal investigators. The Electromagnetic Fields Division is deeply concerned about the continued availability of funding.

Panel's Findings and Recommendations--Fiscal Year 1993

Recommendations. The Electromagnetic Fields Division should take the following steps:

  • Develop a strategic plan (congruent with NIST's and in turn EEEL's overall mission) for the transition to the new industrial environment.

  • Identify emerging technical requirements in industrial growth areas (such as the need in communications for characterization of monolithic microwave integrated circuits, and electromagnetic interference) and propose the necessary services to maintain NIST's and the division's worldwide leadership in microwave measurements and standards.

  • Make in-house capability (in particular, the near-field antenna and radar cross-section characterization) readily available to commercial customers.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
  • Shift the division's electromagnetic compatibility and electromagnetic interference activities from Department of Defense to commercial applications.

  • Extend the near-field calibration capability to higher frequencies and electrically large antennas.

  • Change research priorities for microwave calibration from Department of Defense to commercial applications.

  • Explore radar cross-section measurements for commercial applications.

Directions for Microwave Calibration. Standards for characterizing microwave integrated circuits and materials measurements seem to be more critical than further improvements in coaxial and waveguide standards.

Rationale for Monolithic Microwave Integrated Circuit Metrology. Monolithic microwave integrated circuit metrology and standards have to be adapted to the current needs of the integrated circuit foundries. On-wafer probing of circuits using external as well as on-wafer comparison standards has to be done. In addition, there is a need to have software models of standards ready to be implemented in computer-aided engineering applications for simulation and design.

Rationale for Radar Cross-Section Work. Most of the requirements for radar cross-section measurements, techniques, and standards are based on DOD needs. With the advent of active arrays for airborne radar, stealth becomes a primary consideration. Several government agencies as well as aerospace contractors will be involved in extensive measurement and characterization of antennas. Measurement accuracy, consistency between facilities, and standards will be very important as the required radar cross sections continue to decrease.

Further radar cross-section metrology is also needed in the areas of “smart” highways, automotive collision avoidance, weather radar, and other commercial applications. Industry will need secondary standards to evaluate its products, as well as cross sections of common objects characteristic of the operating environment.

Rationale for Continued Work on Antenna Near-Field Nonplanar Scanning. The principal reason for near-field nonplanar scanning is characterization of large nonplanar radiating structures, for example, determining the radiation pattern of an airborne fire-control antenna behind an aerodynamic radome. Although the antenna without the radome can be measured with a planar near-field system, measuring the radome requires too large a scan plane. With the advent of active arrays and the ability to

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

control the amplitude and phase of each element, it is possible to compensate for errors in the radiation pattern introduced by the presence of the radome. The nonplanar near-field scanning facilities would be ideal for making the necessary measurements to implement the required compensating corrections in the antenna elements. Extensive measurements will be required because of wide bandwidths and large scan angles. Each measurement will require different amplitude and phase settings for individual antenna elements.

Directions for Electromagnetic Compatibility and Electromagnetic Interference Metrology. The Electromagnetic Fields Division is well-positioned to apply its expertise in electromagnetic compatibility and electromagnetic interference to the new commercial applications of microwave technology. With the development and implementation of automobile collision-avoidance systems, near-object avoidance systems, smart highways, and wireless communications will come heavy demands by industry for methods of measuring and establishing standards for its products.

Electromagnetic Technology Division
Mission

The Electromagnetic Technology Division develops measurement techniques and standards and provides calibration services, measurement assurance programs, and reference data for laser and fiber-optic metrology and for engineering applications of superconductivity and magnetics. New electrical and electronic measuring techniques are developed through the application of superconductivity and magnetics.

Strategy

The Electromagnetic Technology Division's strategy recognizes that superconductivity and magnetics will be the technology bases of new advances in both electrical engineering and electronics. The division has a program for developing measurement techniques and to provide basic design data for the properties of magnetic materials and practical superconductors being developed by the industry for large magnets, electrical machines, and magnetic recording. The division has a companion program to apply the unique properties of superconductors, particularly the Josephson effect, to advancing the measurements of fast electrical waveforms and weak electromagnetic fields. Novel fundamental physical standards for quantities such as voltage and microwave power will also be created by this work. The NIST Boulder laboratories have been designated by Congress to be a national center for research in the application of

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

superconductivity to electronics. Both of the division's superconductor programs have a prominent role in the NIST-wide program of research on high-temperature ceramic superconductors.

Resources

The Electromagnetic Technology Division consists of three research groups: Optical Electronic Metrology, Cryoelectronic Metrology, and Superconductor and Magnetic Measurements. There are 99 total paid staff members, with 75 professionals (47 PhDs) and 18 guest researchers in the division. The total division funding is $12.6 million, with $8.5 million from congressional appropriations, $0.04 million from ATP funding, $0.084 million from calibration services, and $3.93 million from other sources.

Electromagnetic Technology Division's Response to Fiscal Year 1992 Recommendations

For the past several years, the panel has recommended that a Kerr-effect apparatus be set up (see the fiscal year 1992 assessment, p. 79). The division has finally hired a postdoctoral researcher who will build a scanning near-field Kerr microscope. The other relevant recommendation from the fiscal year 1992 assessment, i.e., to develop appropriate magnetic moment and coercivity standards for the recording industry, has not been considered because of a lack of staff.

Panel's Findings, Conclusions, and Recommendations--Fiscal Year 1993

Division. Work quality continues to be high, and the balance among fundamental research, laboratory-based standards work, and generic technology research is about right for the Electromagnetic Technology Division.

The division's involvement in NIST's ATP and in Cooperative Research and Development Agreements (CRADAs) will further sharpen its impact on new product development and U.S. industrial needs.

The University of Colorado/NIST Joint Optical Electronics Institute 's approach of initially having four to six people from the university housed in NIST facilities and working on items of common interest should ensure early useful collaboration and results and maintain NIST control.

The division's proposal for competence building funding in photonic packaging was denied, but a 1993 proposal for research on optical computing is now under consideration.

Recommendation. The proposed optical computing research project should focus on the interconnecting and packaging of optics in computing rather than on digital logic. A project on

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

the metrological aspects of optical device fabrication or characterization of low-cost connectors would also be worthwhile and unique.

Panel Comment on Division Strategy. The Electromagnetic Technology Division has selected optical computing for strategic emphasis. The panel questions the relevance of optical computing, because of lack of industrial interest.

Cryoelectronic Metrology Group. The Cryoelectronic Metrology Group applies the unique properties of superconductors, particularly the Josephson effect, to the development of measurement techniques, devices, and standards of superior accuracy, sensitivity, and performance for fundamental metrology and for measurements of fast electrical waveforms and weak electromagnetic signals. An important part of the effort is the investigation of possible new fundamental quantum mechanical standards, e.g., the phenomenon of single electron counting as the basis for current and capacitance standards.

The group is clearly the leader in the development and promulgation of the Josephson voltage standard and over the past year since the panel's 1992 assessment has positioned itself at the forefront in single electron counting metrology. In high-temperature superconducting electronics, the group is making world-leading thin-film technology contributions and is beginning to focus on high-critical-temperature applications.

Progress in the competence building project in single electron counting research has been superb. Fabrication of devices with dimensions below 0.1 µm using e-beam lithography is in hand. Leading-edge experiments on noise and co-tunneling phenomena in Coulomb blockade devices have been completed. These experiments have provided substantial experience relevant to ultimately developing fundamental capacitance and current standards. A key noise issue, probably due to charge hopping between electronic traps in dielectric materials surrounding electrodes, has been identified and is now being studied.

In the voltage standard area, the group's support of U.S. industry in adopting 1-V and 10-V standards has been steadfast. The long-standing supply shortage for voltage standard chips seems to be nearing resolution. There is now a commercial manufacturer for 1-V chips, which the NIST group buys, certifies, and then supplies (sells) to standards laboratories. The group is now getting good yield on the 10-V chips it fabricates. For the first time, there is a backlog of tested 10-V chips ready to ship to customers. These were fabricated by completing a key step, deposition of the insulator, at an industrial laboratory while upgraded equipment was being purchased and installed at NIST. Prospects for the 1-V chip commercial supplier also becoming the 10-V chip supplier seem reasonable.

The intellectual focus in the voltage standards area has shifted appropriately toward developing a programmable standard

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

using a lower-frequency radio frequency source and a frequency multiplier based on single-flux quantum Josephson circuits. This project combines in an excellent way the group's expertise in the voltage standard and in digital Josephson design. The group's digital design expertise is also being used effectively to give vital circuit simulation and test support to the ATP and URI (a superconductor electronics project consortium consisting of the State University of New York at Stony Brook, the University of Rochester, and the University of California at Berkeley).

Excellent progress is being made in developing an infrared radiometer standard based on the kinetic-inductance bolometer. A complete system, ready for delivery, offers about an order-of-magnitude better signal-to-noise ratio than the current radiometer standard, which is based on germanium resistance thermometers. However, at the request of the customer, delivery is being delayed so that a modification can be made to obtain a still-better performance.

The Cryoelectronic Metrology Group clearly distinguished itself in fiscal year 1992 by fabricating a Josephson element with the highest achieved Josephson current-resistance product figure of merit yet, exceeding 1 mV at 77 K and 10 mV at 4.2 K.

Progress was also made in realizing an improved microwave power-measuring standard, in microwave sources based on coherent Josephson oscillations, and in low-temperature superconducting quantum interference devices for a gravity-probe experiment.

The current Cryoelectronic Metrology Group's competence building funding is due to terminate. Core funding and staffing are needed to continue the research.

Recommendation. The Cryoelectronic Metrology Group should continue its current competence building project under core funding. A second permanent staff position should be added, and the two postdoctoral fellowships should be continued.

The group should build on its accomplishments in the fabrication of high-temperature electronic devices by exploiting its advances in fabricating microwave Josephson junctions.

Recommendation. The Cryoelectronic Metrology Group should emphasize demonstrations and applications of cryoelectronics designed for optimum performance at liquid-nitrogen temperature. However, because of staffing constraints, the group should probably set priorities for the use of the group 's unique talents.

Superconductor and Magnetic Measurements Group. The Superconductor and Magnetic Measurements Group provides instruments, techniques, and standards advice for conductors used in large-scale superconducting magnets and to support the magnetics industry, with special emphasis on the recording industry.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

The Superconductor and Magnetic Measurements Group is the preeminent source of instruments, techniques, and advice in the standards field for conductors used in large-scale superconducting magnets. The stewardship of the group's modest resources has been excellent, and it has generated OA funding to build the science base for standards work. Because new types of conductors are continually emerging in this rapidly changing field, industry needs a science base for current transfer at a superconductor-normal metal interface.

Particular achievements of note are the development of ultralow impedance contacts, the round-robin testing of Nb3Sn conductors for fusion magnets, and the development of atomic-force microscopy to study pinning defects in high-temperature superconductors. The development of high-transition-temperature materials for conductors is still in its infancy, and new conductors are continually being developed. There are major opportunities to work closely with fledgling companies developing quality assurance protocols for these conductors. Each new material presents a special challenge because the optimum temperature range and the microstructures are different.

Heat-transfer methods and protocols are needed in order to use magnets at temperatures where no liquid bath can be used. Refrigeration methods, heat-transfer techniques, and measurement protocols are needed for the full range of temperatures from 20 to 65 K. As noted in the fiscal year 1992 assessment, the space available for the research is very limited, and the group occupies space in several buildings.

Recommendation. The atomic-force microscopy research should be located close to the magnetism and sample preparation facilities.

The Superconductor and Magnetic Measurements Group has established a well-focused magnetic recording research program, especially considering the limited financial support for the effort. Research is currently under way in recording media and heads. The effort on scanned-probe microscopies is a good complement to this work.

Double-layer magnetic films of relevant composition and structure are currently being fabricated and characterized. The data are in qualitative agreement with a micromagnetic model developed at NIST for the hysteretic behavior. Commercial interest in these films stems from their potential as low-noise recording media; therefore, a set of theoretical guidelines for producing the desired low-noise configuration would be of significant use to the recording industry.

A second-generation microprobe station has been completed that is fully computer-controlled and now employs probes with tip diameters in the 0.1-µm range. The hard work of demonstrating the utility of this instrument to the recording community is about to begin. This instrument can be of considerable interest

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

to manufacturers of magnetoresistive heads, but a significant marketing effort will be required.

Using its scanned-probe capability, NIST provided a disk drive manufacturer with data on surface roughness that will ultimately aid the company in increasing the storage density in its disk drives.

Additional Comments. Although Japan has been chipping away at the market share, approximately 80 percent of the magnetic recording industry still belongs to U.S. manufacturers. This strong market share has been maintained by the research and development by U.S. companies. With the current upheaval at IBM and the cost-cutting measures at other information storage companies, industrial R&D in magnetics is being severely reduced. NIST can play an important role in maintaining superiority in the recording industry by using its measurement expertise for instrument development to supplement the reduced industrial effort.

Because the trend in the recording industry is toward higher bit densities, it is possible to extrapolate to the measurement resolution that will be required over the next 1 or 2 decades. NIST should anticipate these future needs and be in a position to provide the necessary expertise when called upon. The scanned near-field Kerr microscope could become the major instrument in this area.

Recommendation. The Superconductor and Magnetic Measurements Group should focus on quantitative measurements of stray magnetic fields arising from bit recording and magnetic recording heads. Furthermore, the group should acquire a spinstand, i.e., a magnetic recording system, that can record any desired bit pattern ranging from isolated bits to complicated patterns.

Optical Electronic Metrology Group. The Optical Electronic Metrology Group, which emphasizes metrology to enhance U.S. industrial involvement in worldwide light-wave technology, is being made a separate division. It is appropriate that optics have a clear identity within EEEL. The photonics/light-wave industry continues to grow rapidly and is, increasingly, a key enabling technology for electronic systems. Some of the group's projects could now become groups with comparable budgets and technical depth. Other photonic, laser, and electro-optic projects (in EEEL and other parts of NIST) are candidates for inclusion in this new division. A review of the group's individual projects follows.

Optical Fiber Measurement Systems and Practices. This project combines what were the group's two smallest projects in fiscal year 1992. Although this is one of the group's oldest

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

projects, significant new directions are being taken that will be valuable to industry.

The work on fiber geometry responds to the optical fiber industry 's tighter requirements for simple and efficient splicing and connections. Coordination of an international round robin on geometry measurements is an appropriate undertaking of the division, as is the provision of a standard reference material fiber for geometry calibration. The project is beginning to work on connector ferrule geometry measurements. Precision measurement research for fibers and ferrules should continue to be coordinated within the relevant Telecommunications Industry Association fiber optics subcommittees in which U.S. industry actively participates.

With higher bit rates and signal bandwidths, and as fiber amplifiers are extending fiber lengths between terminals or repeaters, fiber chromatic dispersion has gained renewed importance. An earlier round robin on fiber chromatic dispersion sponsored by the group demonstrated a measurement accuracy that by now may be inadequate. The project 's research on highly accurate measurements will be most helpful in addressing these new applications.

Optical time-domain reflectometry is useful for practical factory and field measurements, since it yields performance of the fiber along its length. Development of a fiber-optic delay line by the project, utilizing unique capabilities in low-coherence reflectometry, will increase the precision of length measurements necessary for accurately locating features at particular distances, such as faults that may need repair. Concerning its high-resolution optical time-domain reflectometry, the Optical Electronic Metrology Group has new concepts that should improve commercially available instrumentation. The group has expertise in low-coherence reflectometry, saturable absorbers, and microchip lasers that can be directed toward this goal. Multiwavelength lasers are of increasing interest for spectral characterization by optical time-domain reflectometers, especially for factory application. The proposed reexamination of low-resolution measurements as the result of long intervening distances is appropriate. A related topic, transmission uniformity as a function of length, is of increasing interest to the standardizing community. The group's staff could lend expertise to Telecommunications Industry Association Fiber Optics committee discussions. Attributes such as the length dependence of the attenuation coefficient, mode field diameter, cutoff wavelength, and perhaps dispersion can be probed with an optical time-domain reflectometer, but the procedures are not well developed.

A topic not specifically being addressed by the group, either in this project or in the Characterization of Sources and Detectors project, is optical-fiber amplifiers. In particular, the erbium-doped fiber amplifier is now the subject of standardization activities, and some of the measurement procedures are controversial. Unfortunately, these standards are

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

not being issued first in the United States, but rather internationally by the International Telecommunications Union (formerly the Consultative Committee on International Telegraphy and Telephony) and by the International Electrotechnical Commission. However, the United States is contributing, and this group, in view of its experience in this area, would be a welcome participant in reviewing and generating documents.

Recommendation. Representatives of the Optical Electronic Metrology Group should participate in Telecommunications Industry Association Fiber Optics committee work on setting branching component measurement standards.

Laser Power and Energy Measurements. This project is responsive to industry's calorimetry needs. Much of the project's response is of a service nature. The project's round-robin measurements in laser beam profilers and in optical-fiber power meters are especially noteworthy. The project seems well connected to standards activities both in the United States and abroad.

Recommendation. The Laser Power and Energy Measurements project's activities in the definition of parameters to characterize non-Gaussian laser beam profiles should continue, and efforts in the optical-fiber power meter area should continue to investigate issues associated with reflections from fiber connectors. The transmittance measurements on samples of laser-safety eye wear are also significant. It is important that the excimer calorimetry system and the Nd:YAG laser calibration system come on-line as expeditiously as possible, to be responsive to industry requests.

Characterization of Sources and Detectors Project. Efforts in this project are somewhat varied, and several deserve special mention. The work in wavelength standards at 1.3 and 1.55 µm is becoming important for industry as tunable lasers and optical spectrum analyzers are further developed and as telecommunications move toward dense wavelength-division multiplexing in the erbium-doped fiber amplifier passband. Future systems will probably have channels spaced equally in frequency rather than in wavelength. The project 's new CRADA in this area is significant. Portable gas cells for intermediate accuracy standards seem practical, and the high-resolution standards formed by cooling and probing rubidium appear very promising. This latter effort has also incorporated pertinent work into tunable fiber lasers and fiber Bragg gratings. The heterodyne system for characterizing detectors to 30 GHz and the plans to extend this range to 120 GHz are unique. This work is of high quality and relevance, as is the project's continuing research in flat-response and pyroelectric detectors.

The project's new activity in laser diode relative-intensity noise measurement and its relation to standards activities are

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

especially important. The research should be extended to fiber-coupled, diode-pumped solid-state lasers as well, because of their use in cable television and other analog fiber-optic signal transmissions.

Activities in picosecond electro-optic sampling are relatively mature, but it appears that industry is not yet ready to fully embrace this measurement technique for new commercial instruments. Industrial interests should continue to be monitored. An alternate approach using low-coherence techniques should continue to be explored, since this is another method for some measurement needs addressed by short-pulse techniques.

In general, the Characterization of Sources and Detectors project could be better coupled to the expanding U.S. standards activities, a step that would affect the selection of new research topics and specific activities of the staff.

Integrated Optoelectronics. This project is concerned with the fabrication and characterization of both in-plane guided-wave devices and vertically oriented photonic devices. Activities include theoretical and experimental work. Bringing the chemical-beam epitaxy system on-line in fiscal year 1992 was a major milestone. Initially, this system is being operated in a molecular beam epitaxy mode with evaporative sources, and it has already produced high-quality multilayer mirrors of the type used in vertical cavity surface emitting lasers. The significant value of the machine will be in developing in situ growth diagnostic measurements and in growing phosphorus-based multiple heteroepitaxial structures for 1.3- and 1.55-µm wavelength applications.

In response to the panel's fiscal year 1992 assessment, a major effort has been made to concentrate on measurement techniques for the multilayer films used for vertical cavity surface emitting lasers. These have been studied to gain understanding in using relatively simple techniques to obtain accurate dimensional and compositional data without requiring time-consuming and destructive transmission electron microscope measurements. Collaboration with local industry has already begun. The panel endorses the development of chemical beam epitaxy growth technology for fabrication of materials and devices relevant to the measurement techniques, standards, calibration services, and reference data for lasers, detectors, and fiber-optic metrology missions of the division and EEEL.

In guided-wave optics, the project's measurement and modeling of amplifiers and lasers have attracted significant industrial interest. There are a number of materials issues to be resolved before the devices can become practical, and part of the challenge is to determine appropriate measurement techniques to characterize the optical and spectroscopic properties of materials and devices to ensure an understanding of device development issues. One study focused on glass guided-wave amplifiers for 1.3-µm applications. This important area has not

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

been effectively addressed by fiber devices due to fiber material issues.

Recommendation. Specially grown glasses have produced promising results, and a significant level of effort should continue. The lasing properties of these glass guides should be investigated, with a significant focus on understanding the implications for amplifiers and addressing material and measurement issues.

In LiTaO3, there is the promise of guided-wave red-blue-green sources formed by intracavity on-chip doubling and up-conversion techniques. Results to date are very encouraging. A significant issue is again materials, since the properties of LiTaO3 vary significantly between boules and even among wafers from the same boule.

Recommendation. Because of the potential importance of LiTaO3 devices, the Optoelectronics Metrology Group should collaborate with integrated optic manufacturers, users, and material suppliers to develop characterization methods to qualify and improve wafers. As a result of the embryonic state of guided-wave devices, the group has a good opportunity to be involved in the development of the technology from the beginning.

Optical-Fiber Sensors. This project continues to provide optical-fiber sensor techniques with enhanced performance over competing techniques. It also fabricates measurement devices, e.g., the YIG-based current sensor, magnetic field detectors that lower the detectable field by magnetic flux concentration, and a precision quarter-wave retarder.

The project has considerable expertise in measurements of high-birefringence fiber and components. Some potential measurement topics of the Optical-Fiber Sensors project overlap those of the project for Optical Fiber Measurement Systems and Standards. A decision will be necessary on where to place these projects when the Optical Electronic Metrology Group becomes a division. Polarization measurements on low-birefringence fiber and components for communications are important for high-bit-rate/high-bandwidth long-distance systems, and for future coherent systems. Polarization-mode dispersion in fiber can compete with chromatic dispersion and may affect the accuracy of measuring the latter. In passive components, such as connectors and splitter couplers, polarization-mode dispersion is small, but polarization-dependent loss may be significant. U.S. standards activity in the above areas has just begun, and the group has rare expertise to contribute.

Research on optical branching components straddles several of the Optical Electronic Metrology Group's projects. Sensors utilize optical couplers and are of increasing use in test equipment and in communications. An example is passive

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×

(nonelectronic) point-to-multipoint signal distribution, possibly with wavelength-division multiplexers.

The Optical-Fiber Sensors project continues to develop superior optical-fiber sensor techniques. Project funding still comes primarily from outside resources.

Recommendation. To examine sensors beyond those that are intensity-based, such as frequency-based sensors, expand the new approach to remote self-calibrating systems. Supplement outside funding with more internal funding directed toward some new sensor applications such as distributed, environmental, or chemical sensing. Also, strengthen theoretical work to provide new impetus to experimental ideas.

Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
This page in the original is blank.
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 29
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 30
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 31
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 32
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 33
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 34
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 35
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 36
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 37
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 38
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 39
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 40
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 41
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 42
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 43
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 44
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 45
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 46
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 47
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 48
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 49
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 50
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 51
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 52
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 53
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 54
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 55
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 56
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 57
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 58
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 59
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 60
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 61
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 62
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 63
Suggested Citation:"4 ELECTRONICS AND ELECTRICAL ENGINEERING LABORATORY." National Research Council. 1994. An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993. Washington, DC: The National Academies Press. doi: 10.17226/9192.
×
Page 64
Next: 5 MANUFACTURING ENGINEERING LABORATORY »
An Assessment of the National Institute of Standards and Technology Programs: Fiscal Year 1993 Get This Book
×
MyNAP members save 10% online.
Login or Register to save!
  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!