National Academies Press: OpenBook
« Previous: 1. The State of the Laboratories
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

2
Electronics and Electrical Engineering Laboratory

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

PANEL MEMBERS

Ralph K.Cavin III, Semiconductor Research Corporation, Chair

Lori S.Nye, Consultant, Mountain View, California, Vice Chair

Thomas E.Anderson, Airtron, Division of Litton Systems, Inc.

Constance J.Chang-Hasnain, University of California at Berkeley

Jack H.Corley, Advanced Technology Institute

Jerome J.Cuomo, North Carolina State University

Russell D.Dupuis, University of Texas at Austin

Thomas J.Gramila, Ohio State University

Donald B.Keck, Corning, Inc.

David C.Larbalestier, University of Wisconsin-Madison

Tingye Li, AT&T Research (retired)

Tso-Ping Ma, Yale University

Solomon Max, LTX Corporation

Robert C.McDonald, Intel Corporation (retired)

Bruce Melson, GE Aircraft Engines

Terry P.Orlando, Massachusetts Institute of Technology

Ghery S.Pettit, Intel Corporation

Robert Rottmayer, Seagate Research

Douglas K.Rytting, Agilent Technologies, Inc.

Dennis E.Speliotis, ADE Technologies, Inc.

Peter W.Staecker, Consultant, Lexington, Massachusetts

Dale J.Van Harlingen, University of Illinois at Urbana-Champaign

John A.Wehrmeyer, Quality Consultants of New York

H.Lee Willis, ABB Power T&D Company

Donald L.Wollesen, Advanced Micro Devices, Inc. (retired)

Submitted for the panel by its Chair, Ralph K.Cavin III, and its Vice Chair, Lori S.Nye, this assessment of the fiscal year 2001 activities of the Electronics and Electrical Engineering Laboratory is based on site visits by individual panel members, a formal meeting of the panel on February 15–16, 2001, in Gaithersburg, Maryland, and documents provided by the laboratory.1

1  

National Institute of Standards and Technology, Electronics and Electrical Engineering Laboratory, Summary of 2000 Project Status Reports (10/1/1999–9/30/2000), National Institute of Standards and Technology, Gaithersburg, Md., January 29, 2001. See also Programs, Activities, and Accomplishments books for each division.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

LABORATORY-LEVEL REVIEW

Technical Merit

According to laboratory documentation, the mission of the Electronics and Electrical Engineering Laboratory is to strengthen the U.S. economy and improve the quality of life by providing measurement science and technology and by advancing standards, primarily for the electronics and electrical industries.

The EEEL mission statement is a revised version of the 2000 mission statement and is more closely aligned with the NIST mission statement. The panel endorses the emphasis on the role of EEEL in improving the quality of life for citizens and agrees with the importance given to the laboratory’s role in the creation of metrology standards. Moreover, the mission statements of the EEEL divisions conform with the new EEEL mission statement.

In the past year, EEEL focused intensely on making strategic planning a critical component of laboratory management activities. The result of this effort is a revised 5-year strategic plan for the laboratory,2 clear vision and mission statements, and a short but appropriate list of values. These basic documents together define the basic priorities of the laboratory in a manner that allows management and staff to select projects to be pursued based on objective criteria including customer need, relevance to the mission, and likelihood of success. The strategic plan enunciates four overarching goals for the laboratory: strengthen the foundation for all electrical measurements, provide the measurement capability required for a world-class electronics industry, provide the measurement capability required for a world-class electrical industry, and provide technical support for law enforcement. Each of these goals is supported by several objectives, with performance metrics, and the plan and its goals relate well to the missions of the laboratory’s individual divisions and offices.

The Electronics and Electrical Engineering Laboratory is organized into six divisions and two offices: Electricity Division, Semiconductor Electronics Division, Radio-Frequency Technology Division, Electromagnetic Technology Division, Optoelectronics Division, Magnetic Technology Division, Office of Microelectronics Programs, and Office of Law Enforcement Standards (OLES) (see Figure 2.1). Each division and the Office of Law Enforcement Standards are reviewed in individual sections later in this chapter. This year, the Office of Microelectronics Programs is reviewed in a separate chapter of this report, as part of a special assessment of microelectronics activities throughout the NIST Measurement and Standards Laboratories.

The Magnetic Technology Division is a new unit this year; it contains magnetic and superconducting materials programs split off from the Electromagnetic Technology Division. The panel supports the decision to reorganize the laboratory in this manner and notes that the transition seems to have gone smoothly, and that staff morale has been significantly improved. A minor concern is the potential confusion that the names of these two divisions might produce in people outside NIST; the names are very similar, and the Electromagnetic Technology Division title does not clearly reflect the current activities in that division.

During the assessment, the panel reviewed a wide range of technical programs in all of the divisions. There were many examples of excellence, due mainly to the efforts of the high-quality staff who are the

2  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Electronics and Electrical Engineering Laboratory Strategic Plan for Fiscal Years 2001–2006, NISTIR 6712, National Institute of Standards and Technology, Gaithersburg, Md., February 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

FIGURE 2.1 Organizational structure of the Electronics and Electrical Engineering Laboratory. Listed under each division are the division’s groups.

primary resource of EEEL. Some achievements of the laboratory are described below, and more details on the technical accomplishments can be found in the divisional reports contained later in this chapter.

In the Electricity Division, exceptional progress has been made on the electronic kilogram project, which aims to use a watt balance apparatus to define an alternative to the artifactual kilogram standard; high-impact and ingenious work is occurring on characterizing flat panel displays; resistance calibration capabilities were expanded up to the 100 TΩ level; and cutting-edge investigations into the role of single-electron tunneling technologies in metrology continue. In the Semiconductor Electronics Division, staff are designing test structures based on microelectromechanical systems (MEMS) technology to measure stress and strain of thin films on integrated circuit (IC) structures, developing scanning capacitance microscopy measurement techniques and related software for two-dimensional dopant profiling, developing test systems for characterization of silicon carbide devices, and producing oxide reliability standards that have been adopted by U.S. industry as well as foreign standards organizations and companies. In the Radio-Frequency Technology Division, proactive efforts on standards for fixed broadband wireless systems will help accelerate deployment of this new technology, and new and

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

general methods of characterizing nonlinear devices and components for digital wireless communications are being developed and transferred to industrial laboratories.

In the Electromagnetic Technology Division, a new capacitance standard is based on using a single electron pump device to count electrons delivered to a capacitive structure; the use of bolometer arrays to detect concealed weapons is being investigated; and the work on the single photon turnstile has potential implication for the fields of quantum computing and communications. In the Optoelectronics Division, metrology is being developed in the ultraviolet (UV) regime in anticipation of the evolution to 157-nm lithography by the semiconductor industry; study of InGaAs quantum dots is focused on preparing for future standards development for such nanostructures; and work on a time-domain electrooptic sampling technique for calibrating oscilloscopes is laying the groundwork for increasing measurement bandwidth beyond 40 GHz, perhaps up as far as 110 GHz. In the Magnetic Technology Division, staff are using the new microfabrication capabilities in Boulder to construct a “magnetometer-on-a-chip” for measuring many fundamental magnetic parameters on a nanometer scale; magnetodynamics work is leading to a deeper understanding of high-speed switching phenomena, which in turn has inspired an innovative new project on spintronics; and NIST provided unique facilities and expertise for testing of superconductors to be used in high-energy physics experiments and in the program to develop high-temperature superconductors for electric power technology. In the Office of Law Enforcement Standards, NIST staff supervise a wide array of high-quality, customer-driven programs in weapons and protective systems, detection and enforcement technologies, chemical systems and materials, forensic services, and public safety communication standards.

Program Relevance and Effectiveness

EEEL strives to ensure that the projects undertaken are responsive to the metrology needs of the laboratory’s customers, which include industry, scientific research communities, and other government agencies. Divisional staff interact with these customers in a variety of ways to get input on industrial needs and the current state of the art and to disseminate information about EEEL programs, services, and results. Recently, the acting director of NIST began emphasizing the importance of also “closing the loop” with customers by seeking their direct evaluation of the quality and relevance of NIST work upon completion of a task. The panel commends this initiative as it will provide yet more input to help maintain the relevance of EEEL programs.

Each division of EEEL serves industry differently, so the range of mechanisms for customer interactions is quite broad. Some examples are listed below to illustrate the variety and effectiveness of these methods for determining customer need and disseminating NIST results.

EEEL staff are very active in standards organizations and participate in a large number of standards committees (for example, one serves as chair of the Institute of Electrical and Electronics Engineers [IEEE] Committee on Broadband Wireless Access and another as task force leader for the International Electrotechnical Commission [IEC] Committee on Power Quality Measurements). The divisions work with a number of government agencies and interact closely with the research communities of those agencies (examples are the measurement of superconductors used in high-energy physics experiments for the Department of Energy [DOE] and the investigation of bolometer arrays for high-frequency radio astronomy for the National Aeronautics and Space Administration [NASA]). In a similar vein, OLES staff are continually reaching out to the law enforcement community with technical advice and relevant standards. NIST also takes the lead in organizing key workshops and conferences (for example, the biennial Symposium on Optical Fiber Measurements and the biennial International Conference on Characterization and Metrology for ULSI Technology). Divisional calibration services staff have direct

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

interactions with their customers, which can result in technical improvements with an immediate impact (for example, the development of a new coaxial radiometer for noise-temperature measurements that expanded the range of services provided and reduced the time per calibration). Staff also are involved with industry-sector roadmapping activities like those put together by the Semiconductor Industry Association, the Optoelectronics Industry Development Association (OIDA), and the National Electronics Manufacturing Initiative; they partner directly with industry consortia such as International SEMATECH and the National Storage Industry Consortium (NSIC) and participate in professional organizations like the IEEE.

This range of interactions produces an array of programs that are responsive to customer needs. The panel commends EEEL on its efforts to ensure the relevance of its programs but notes that although the basic exploratory research ongoing in the laboratory today accounts for only a small percentage of the laboratory’s work, it is a necessary element of the NIST portfolio. Such basic research is intended to both enhance the professional competence of the staff and to anticipate the needs of industry. EEEL management should continue to support exploratory programs, even in the face of significant budget pressures, because they contribute to the intellectually vigorous environment, which enhances employee morale and retention and provides the knowledge and experience necessary for NIST to maintain its position as a international technical leader in measurement and standards technologies.

Laboratory Resources

Funding sources for the Electronics and Electrical Engineering Laboratory are shown in Table 2.1. As of January 2001, staffing for the EEEL included 244 full-time permanent positions, of which 206 were for technical professionals. There were also 27 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

It appears that in fiscal year 2001, EEEL will see an increase of approximately 5 percent in total funding. However, governmentally mandated cost-of-living adjustments to salaries consume a significant portion of any additional funds, making it difficult for the divisions to recruit new staff. Indeed, the number of full-time permanent staff in EEEL has dropped by 10 percent in the past 2 years, mainly because departing personnel have not been replaced. In some divisions, these departures are due to retirements, but in other areas, NIST employees have left for more lucrative opportunities in the private sector. In these cases, finding replacements is not just a matter of funding to support the new hires but also a question of how to recruit high-quality technical staff in a very tight labor market. In any case, the overall decrease in laboratory staff has resulted in a growing number of areas in which there is single-point coverage; this situation is risky, as entire programs can be delayed or ended if one particular employee is lost. Spreading personnel so thin may also affect morale, as staff can feel isolated without contact with other experts in their field. This point is a particular issue for younger staff members, who need technical and professional mentoring from more senior personnel and who are more likely to be concerned that flat budgets portend serious problems for the long-term viability of the laboratory. The morale throughout the laboratory is also affected by the number of key management positions filled by acting personnel. Having permanent staff in these positions would provide a sense of stability.

Another way in which the laboratory’s shortage of funds impacts technical performance is problems with equipment. The industries supported by EEEL continually require more accurate measurements and more precise techniques, and the cost of the instruments necessary to support the development of advanced metrology is increasing substantially. The current annual capital equipment budget for EEEL is approximately $3.8 million, which is not adequate for the instrumentation purchases and upgrades needed to maintain core technologies, let alone for the purchase of equipment needed for EEEL projects in new areas.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.1 Sources of Funding for the Electronics and Electrical Engineering Laboratory (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

31.5

33.2

32.5

33.8

Competence

2.2

1.9

2.1

1.8

ATP

2.1

1.9

1.4

1.7

Measurement Services (SRM production)

0.1

0.1

0.2

0.2

OA/NFG/CRADA

10.2

10.9

13.8

15.3

Other Reimbursable

2.9

2.7

2.8

2.6

Total

49.0

50.7

52.7

55.4

Full-time permanent staff (total)a

270

270

259

244

NOTE: Funding for the NIST Measurement and Standards Laboratories comes from a variety of sources. The laboratories receive appropriations from Congress, known as Scientific and Technical Research and Services (STRS) funding. Competence funding also comes from NIST’s congressional appropriations but is allocated by the NIST director’s office in multiyear grants for projects that advance NIST’s capabilities in new and emerging areas of measurement science. Advanced Technology Program (ATP) funding reflects support from NIST' s ATP for work done at the NIST laboratories in collaboration with or in support of ATP projects. Funding to support production of Standard Reference Materials (SRMs) is tied to the use of such products and is classified as Measurement Services. NIST laboratories also receive funding through grants or contracts from other government agencies (OA), from nonfederal government (NFG) agencies, and from industry in the form of Cooperative Research and Development Agreements (CRADAs). All other laboratory funding, including that for Calibration Services, is grouped under “Other Reimbursable.”

aThe number of full-time permanent staff is as of January of that fiscal year.

In an effort to work around this problem, laboratory staff often construct their own equipment, even when it is commercially available. While this approach saves capital equipment funds, it interferes with the effective deployment of staff time and efforts and reduces productivity. The lack of equipment comparable to that possessed by industry or by other national measurement institutes also impacts staff morale. EEEL is encouraged by the panel to devise creative ways to work with industry and other government agencies to obtain some of the needed instrumentation or access to that instrumentation.

Overall, EEEL’s facilities in both Gaithersburg and Boulder continue to be marginal at best. There were some areas of improvement in 2000. In Boulder, clean rooms with microfabrication capabilities are now fully operational, and remodeling of several of the large laboratories for the Radio-Frequency Technology Division is nearly complete. In Gaithersburg, EEEL management has been supportive of OLES efforts to arrange for a ballistics facility, and the Semiconductor Electronics Division has finished restoring its clean room. However, serious problems still exist on both campuses. In Boulder, temperatures in the laboratories can vary widely in the course of just one day. The open air test site is becoming increasingly affected by electromagnetic contamination from the growing pervasiveness of new technologies like wireless communications and high-definition television. In Gaithersburg, the laboratory buildings are in reasonable shape, considering their age. However, these facilities were certainly not designed to have the temperature, air quality, or vibration control or power stability needed to support

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

the metrology needs of modern electronic systems. While the panel is certainly pleased that work has begun on the Advanced Measurement Laboratory at Gaithersburg, this facility is not scheduled to be completed until 2004. In the meantime, innovative solutions are needed to meet the varied clean room requirements that are mandatory to sustain metrology development in the divisions at Gaithersburg.

The bottom-line consequence of the difficult budget situation in EEEL is that the divisions are finding it more and more difficult to effectively serve the needs of the electronics and electrical industries, one of the highest value-added sectors in the U.S. economy. In each division, there are examples of projects that would fulfill key measurement and standards needs of industry if EEEL had the resources to pursue them. In some cases, these are areas in which NIST has had to scale back existing programs. In the Electricity Division, NIST is now planning to rely on the Canadian National Research Council to supply some 60-Hz voltage metrology services. In the Semiconductor Electronics Division, NIST was a leader in providing test structures for evaluating the reliability of aluminum interconnect systems, but as the semiconductor industry has moved to copper interconnect systems, EEEL has been unable to find the funding or the personnel to develop similar test structures for copper. In other cases, NIST simply cannot fund programs in new areas that will be critical to meeting industrial measurements and standards needs. In the Optoelectronics Division, the current program portfolio would be enhanced by work on planar optics, optical MEMS metrology, optical data storage, the semiconductor lighting initiative, and bio-optics. Indeed, in this area, the panel continues to stridently call for funding for the proposed Office of Optoelectronics Programs, which would help EEEL support a growing industry and coordinate work in this area through the NIST Measurements and Standards Laboratories. In the Magnetic Technology Division, flux standards for magnetic recording media are needed, as are standards for high-temperature superconductors at power frequencies, and the work on spintronics and perpendicular recording technologies could be expanded. In the Electromagnetic Technology Division, preliminary research on several promising new ideas for quantum-based standards is now reaching fruition, and the next step, practical implementation of the standards, will require additional resources. In the Radio-Frequency Technology Division, the primary issue is access to the equipment and facilities needed to perform high-accuracy measurements.

The panel is not suggesting that the above work is more important than the laboratory’s current activities. Rather, it is calling attention to the cost to the U.S. economy that is being incurred because NIST is severely limited in the projects that it can take on. The work done in EEEL is unique for a variety of reasons, either because of the technical expertise available in the divisions, the focus on measurement technologies, or NIST’s reputation as an objective, technically informed, neutral party. Some of the laboratory’s services could not be provided elsewhere; for example certain international standards require traceability to a national measurement institute. The panel believes that while NIST is effectively managing its limited resources, flat budgets and exploding industry needs are simply overwhelming its capacity to meet all of the high-priority needs of its customers.

DIVISIONAL REVIEWS

Electricity Division

Technical Merit

According to division documentation, the mission of the Electricity Division is to provide the world’s most technically advanced and fundamentally sound basis for all electrical measurements in the United States. To accomplish this mission, the Electricity Division’s programs involve three principal

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

elements: realizing the International System (SI) of electrical units, developing improved measurement methods and calibration services, and supporting the measurements and standard infrastructure needed by U.S. industry to develop new products, ensure quality, and compete economically in the world’s markets.3

The Electricity Division’s mission seems particularly appropriate given the major role that electrical and electronics equipment and systems play in international commerce and the leadership role that American companies have developed and wish to maintain in this field. Recognizing the growing importance of supporting U.S. industry in the international marketplace, the division has increased its participation in international documentary and physical standards activities. In general, the division conducts its business in a manner that supports its stated mission, which is well aligned with the EEEL and NIST missions.

The technical quality of the projects reviewed was of uniformly high caliber throughout the division, from the more established calibration efforts to the new initiatives on technology development. Recognition of current and anticipated needs of U.S. industry and commerce appears to be the driving force for decision-making. The technical efforts involved in maintaining state-of-the-art capabilities are an essential strength of this division. A superb degree of technical skill, innovative approaches, and good judgment are evident throughout the programs. One example of the excellent work under way in this division is the Electronic Kilogram project, which addresses an important and elementary need of the laboratory: the development of an alternative means to monitor mass standards. This long-term effort is well justified by the observable differences between the artifactual kilograms maintained throughout the world. The project leverages a number of established world-class capabilities within the division to facilitate the development of a new measurement method that has the potential to significantly advance the state of the art. The technical requirements of this effort are exacting and span a wide range of technologies; along the way, the staff have developed some important new approaches and demonstrated an impressive capability for creative problem solving. With this project and the Metrology Triangle initiative, which aims to link the volt, ohm, and ampere standards, the Electricity Division is positioned as an international leader in fundamental metrology of SI units. The panel believes that such leadership produces both prestige for NIST and a technical advantage that is a key element in NIST’s support of U.S. industrial competitiveness in the global electronics and electrical markets.

A similar degree of technical excellence is evident in many other programs. The Voltage Metrology program, for example, continues to lead the world in sustaining current methods and developing new technologies for the maintenance of the legal volt. At present, efforts are focused on development of a portable Josephson junction array that will facilitate comparisons of the NIST volt to standards maintained by other organizations. This new approach is sorely needed as the Zener references now used as standard transfer devices only allow intercomparisons with uncertainties of about 3 parts in 108, while the portable Josephson junction arrays are expected to enable comparisons with uncertainties in the range of 1 part in 109 to 1010, a significant improvement. In addition to working on this new technology, the staff in the voltage metrology area also provide important calibration services for the Zener references and saturated cells commonly used by companies today. The historical data on the reference and working standards maintained at NIST and the high level of staff expertise in this area allow NIST to provide these services to U.S. industry at a world-class level.

3  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Electricity Division: Programs, Activities, and Accomplishments, NISTIR 6587, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Another area in which the Electricity Division is balancing the development of new technologies and the provision of traditional calibration services is resistance standards and metrology. Within the current program, the service provided to NIST calibration customers continues to be of high quality, and the division has recently expanded its range of capabilities to the 100 TW level. In the work on new methods, NIST has the only alternating currents (AC) quantum Hall resistance facility that is currently operational in the United States. Staff continue to improve the equipment in this facility; most recently, a new cryogenic probe was built based on a new design that is expected to reduce noise in the measurements and correspondingly reduce uncertainties. In addition, staff are working on building a cryogenic current comparator, a piece of equipment that is not available commercially. This equipment is needed to realize the benefits of the quantum Hall resistance approach over a useful range. On another front, staff are investigating how current resistance metrology techniques can be extended beyond direct current (DC) measurements to AC. The division staff have developed impressive expertise during the work on DC measurements. These skills allowed the careful and exacting analysis of NIST’s measurement scheme, which in turn permitted the discovery of errors and faults in approaches being adopted in standards laboratories in other countries. This work laid the foundation for the current effort to extend the measurement approach to AC.

In addition to the work on the volt and the ohm described above, the Electricity Division is also responsible for realizing the SI unit for capacitance, the farad. The central facility for this work is the NIST calculable capacitor, which has existed for over 30 years. Division staff continue to improve this facility; currently uncertainties are down to roughly 2 parts in 108. The latest effort focuses on developing the technologies needed to provide calibration measurements at 1000 Hz (as well as at the present standard, 1592 Hz). This additional capability will reduce the uncertainties currently experienced when NIST customers are measuring the 10-pF fused silica capacitors, which are monitored at that frequency. Although there is little room for future improvement in the calculable capacitor, it is essential to maintain this program in support of one of the key SI units,

The Single-Electron Tunneling (SET) project is another activity in which NIST staff are pushing the boundaries of current technologies in order to achieve fundamental advances in electrical metrology. One element of this effort is the investigation of whether SET technologies can be used to provide a fundamental representation of capacitance. A major technical challenge is how to scale the SET devices to provide the currents required for work with resistors. Tackling the complex materials issues associated with this challenge will likely involve considerable collaboration with groups outside NIST. In the past year, the staff have clearly identified the relevant technical issues and laid the groundwork for the needed external collaborations. One reason this project is so appropriate for NIST is the significant benefit that will accrue from the ability of staff to compare results from this activity with those from the calculable capacitor that the Electricity Division uses to maintain the farad.

In the Measurement for Complex Electronic Systems project, staff are using sophisticated mathematical techniques to extend efforts into the thorny area of IDDQ testing, in which the power supply current of a semiconductor device is measured as a function of the digital state of the device. This technique may prove to be a very effective tool for determining optimum testing strategies. The Infrastructure for Integrated Electronic Design and Manufacturing projects are very appropriate NIST activities because they aim to generate standards that will be accepted by industry. The work is based on the sophisticated use of the Internet. Perhaps future additions to the intrastructure might include an efficient method for continually improving the infrastructure. Ideally, such an enhancement would include a system for conveniently reporting problems and shortcomings and for tracking resolution of the reported issues. The AC-DC Difference Standards and Measurement Techniques program includes several projects that are contributing pioneering work in this field. The panel was impressed by the high

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

quality of four specific research projects: the development of a cryogenic-based thermal transfer standard, the use of thin-film multijunction thermal converters as new high-performance reference and working standards, the expansion of the range of currents (up to 100 A and down to 10 mA) at which broadband calibrations of shunts can be performed, and the investigation of how binary inductive voltage dividers can be used to independently verify converters up to 1 kV.

Program Relevance and Effectiveness

The Electricity Division appears to understand and even anticipate the needs of its customers well, and both individual investigators and management interact with appropriate elements of the relevant industries. The panel continues to be impressed with the willingness of the division’s professional staff to be available for face-to-face or telephone conversations with their customers and notes that this tradition of openness creates an atmosphere of accessibility that many EEEL customers view as one of the laboratory’s most valuable characteristics. NIST personnel, in turn, use the information gathered in these interactions to help determine the direction of division programs, especially when it comes to starting new efforts directed at meeting industrial needs.

Once projects were under way, however, the panel observed that less external input was sought and utilized. Customer relations might be strengthened by having more clearly defined checkpoints at which customers could be called on to validate the appropriateness of divisional programs or to help make mid-course corrections. Checkpoints clearly do exist within the more formal collaborative arrangements (such as Cooperative Research and Development Agreements [CRADAs]), and productive interactions with customers do occur informally in some other activities, but in some cases, intraprogram decision points pass without staff seizing the opportunity to seek customer input or potential redirection. While the timing or even the existence of such turning points is usually difficult to predict for an individual project, educating program managers about the usefulness of reaching out to their customers when such a point arises might help build a culture in which such interactions are a natural part of a project. One ongoing project in which open communications with an external organization have contributed positively to the effort is the work on construction of thin-film devices for the AC-DC Difference program. The division has worked cooperatively with the DOE in this area, and the frequent discussions that took place when problems arose and decisions needed to be made have benefited the project and enabled the two government agencies to use the money of U.S. taxpayers as efficiently as possible.

The Electricity Division is very active in disseminating information about NIST results, activities, and services and maintaining the international visibility of NIST’s high-quality programs and technical capabilities. The number of publications remains large (72 in 2000) despite the fact that several professional staff retired last year, leaving just 51 technical professionals. Division personnel give numerous presentations, seminars, and symposia and represent NIST in a number of professional and technical organizations. One example is the National Conference of Standards Laboratories International (NCSLI). NCSLI’s Committee for National Measurement Requirements receives input on present and future metrology needs from over 1400 organizations, and interaction with this committee provides the Electricity Division with information on the status of international measurement and standards activities. Staff also gather important data on customer needs at events such as the annual Measurement Science Conference and the biennial international Conference on Precision Electromagnetic Measurements. The requirements and priorities of individual companies are gathered through CRADAs.

The Electricity Division is using all of these inputs appropriately to direct its limited resources to programs with significant impact. One illustration of the division’s responsiveness is the recent increase

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

in funding for projects that support the electrical power industry. This shift was a reaction to the increasing deregulation of the power industry and the power supply crises in California and is backed by a recent prospective study of the potential economic impact of NIST’s work.4 Another example is the project on Display Measurement Assessment Transfer Standard (DMATS), which focuses on characterizing flat panel displays. This work grew out of divisional staffs awareness of customer needs in this area, bolstered by a close relationship with the Council for Optical Radiation Measurements. Twenty customers have already asked to be included in a program to test the implementation of DMATS.

On the whole, the division’s ongoing efforts to disseminate information to its client industries about NIST results, products, services, and expertise are effective. However, the panel is concerned that the overall dissemination effort is much too small. Perhaps more aggressive marketing of the NIST products and results and better education of the public about how NIST contributes to industrial competitiveness would increase appreciation of NIST’s value. A higher profile might lead to increased funding from industry sources or from Congress.

Electricity Division management has clearly taken great care to consider the relevance of all its current activities, particularly in light of the budgetary restrictions of recent years. Examples of the types of ongoing projects that are appropriate and justifiable for the division include work that directly meets current industry needs as well as research and development necessary to maintain and extend technologies to meet future industry needs. To illustrate the relationship of the Electricity Division with its customers, the panel describes below the impact of several division projects. Some have more general effects, while others are targeted toward specific industries.

A good example of how NIST activities serve to maintain and protect the competitiveness of U.S. industry is the international role played by NIST personnel. Electricity Division staff participate in many international standards activities and engage in cooperative programs with other national measurement institutes. This work helps to ensure that the technical methods developed at NIST are disseminated and accepted globally and that the United States has a voice in the application of standards for the international marketplace. However, while NIST encourages its staff to engage in such activities, there does not appear to be a strategic plan at the institutional level to guide participation in these international standards groups. Technical standards can be and occasionally are used as barriers to trade, and lack of a coherently planned and managed program for participation in international standards activities may be hurting U.S. competitiveness in the electrical and electronics industries. Perhaps NIST should consider identifying and targeting specific areas of international standards for participation. The goal would be to determine and prioritize the areas in which experienced NIST staff could provide objective expert technical advice and promote neutral, open standards.

One project whose impact is very broad is the Information System to Support Calibrations. This system has been installed throughout the NIST laboratories to support various calibration services and has effectively systematized the interface between NIST and its customers from a wide range of industries. Another project—the Measurements for Complex Electronic Systems Project—is closely tied to the needs of a specific industry. This project, which involves analyzing complex electronic systems using only a limited number of key measurements, is relevant to the instrumentation needs of the automatic test equipment industry and is a particularly useful extension of existing metrology technology.

4  

Michael P.Gallaher, Stephen A.Johnston, and Brendan Kirby, Changing Measurement and Standards Needs in a Deregulated Electric Utility Industry, Planning Report #00–2, National Institute of Standards and Technology, Gaithersburg, Md., May 2000.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

The Infrastructure for Integrated Electronic Design and Manufacturing programs include projects to develop open standards and to provide environments for electrical component testing and evaluating technologies and tools. Its purpose is to provide support for infrastructures for integrated electronic design and electronic manufacturing and for Internet commerce among trading partners involved in the electronics industry. The design project addresses an infrastructure-created problem related to component and printed circuit board specifications. The project goal is to develop a system that will allow manufacturers of board subassemblies to incorporate all the relevant specifications and to receive and generate quotes based on a common, industry-accepted standard, via the Internet or corporate intranets. Similarly, the manufacturing project aims to create a standard way of describing the specifications that must be met by the subassembly. Both the design and manufacturing programs could have a very great impact on U.S. competitiveness in the electronics field, where the standards and environments developed at NIST could help reduce cost, eliminate inefficiencies and quality problems, and shorten product cycle times significantly. NIST may wish to consider to what extent the concepts being developed could be extended beyond the electronic components industry, as many of the issues and functions being dealt with are relevant to other types of manufacturing and commerce.

In the Flat Panel Display Metrology program, the primary impact will be advancement of the quality of computer interfaces through the development of metrology to characterize electronic displays. The work under this program is of high quality, unique, and sorely needed by industry. The latest project in this area is the work on the Display Measurement Assessment Transfer Standards prototype. This device will allow for the evaluation of colorimeters over many different parameters, such as the entire color space, luminance, polarity checks, and contrast ratio.

The AC-DC Difference Standards and Measurement Techniques program interacts with a variety of external organizations, including manufacturers of electronic instrumentation and test equipment, the U.S. Department of Defense, and commercial testing laboratories. Each year, the division’s calibration service in this area provides its customers with about 10 reports containing about 400 test points. Results from this program have been used for laboratory accreditation, product development, and expansion of calibration capabilities.

In the Waveform Synthesis and Impedance Metrology project, the standards community is benefiting from new applications of inductance and capacitance measurement technologies, which allow impedance measurements to be performed at arbitrary frequencies. In the Waveform Acquisition Devices and Standards project, one goal is to bridge the gap in existing instrumentation technology; currently AC waveforms in the 1-kHz to 1-MHz ranges cannot be measured accurately and rapidly. The increased measurement capabilities and the high-speed comparator algorithm used in this work may have widespread and significant application in the instrumentation industry. The development of such a comparator will allow rapid, accurate measurements of signals used by digital subscriber line modems and waveform synthesizers.

A final example of a key customer well served by the Electricity Division is the electric power industry, which provides critical infrastructure for the U.S. economy. Division projects relevant to this industry include Metrology for Electric Power Systems and Advanced Power System Measurements. The former project provides essential voltage and current calibration services to industry in support of applications such as the transmission and distribution of electric power and high-current welding. The latter project is focused on the future measurement needs of the U.S. electric power industry. A noteworthy element of this work is the ongoing development of testing procedures that will allow NIST to provide calibration services for optical current transducers. Both projects are of uniformly high quality and seem to be well synchronized with the evolving needs of both the electric utility industry and the industrial and commercial users of electric power. The division has been commendably proactive in

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

seeking input on the needs of its customers; for example, in December of 1999, NIST sponsored a workshop on the metrology requirements of the deregulated power industry.

In addition to the above projects in support of the electric power industry, the Electricity Division recently received $300,000 for a new initiative focused on the development of security, communication, and measurement protocols for maintaining the integrity of the data communications and computing/ control systems required for reliable operation of power grids. This initiative represents a very sound combination of technology and relevance, and the activity will benefit from the Electricity Division’s familiarity with power industry needs and NIST’s leadership in encryption and computer/data communication security technology. The initiative appears to be particularly timely in light of the power outages that occurred as a result of system equipment failures in the summer of 1999, the recent problems in power supply operation in California, and the critical role that dependable and plentiful supplies of reliable power play in the national economy. The panel’s only question is, Given the complexity of the issues under investigation, the size of the industry and the infrastructure that will be affected, and their importance to the U.S. economy and its global competitiveness, will a larger and longer-term commitment be needed to establish standards for power system communications and control security and to develop appropriate measurement technologies and standards for their implementation in a timely and efficient fashion?

The Electricity Division’s current efforts in support of the electric power industry are important and effective. However, given recent developments in the industry, an increased commitment and an expansion of effort may be needed. A combination of deregulation and the growing need for very good power quality to support the digital economy have created a rapidly changing venue for commerce directly associated with electric power. In this new environment, there is a great need for leadership, standards, and traceable calibrations to measure power quality, characterize power system capabilities, and enable power trading. A specific example of an area in which the division might consider a new project is the measurement of and testing for harmonics and for voltage surges and sags. This effort would support the power quality measurements and standards upon which premium power contracts and electric utility performance-based regulation can be based and by means of which disputes over power quality and reliability can be resolved.

Division Resources

Funding sources for the Electricity Division are shown in Table 2.2. As of January 2001, staffing for the Electricity Division included 57 full-time permanent positions, of which 51 were for technical professionals. There were also 7 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

Electricity Division funding has remained essentially flat over the past 5 years, but the number of personnel has dropped by 20 percent. While the Electricity Division appears to still have sufficient technical ability to meet the needs currently identified, the panel is concerned that programmatic deficiencies may arise if the staff reduction trend continues. Much of the decrease in head count has been due to retirement of technical staff members with considerable experience and long-standing ties to relevant areas of U.S. industry. Their retirement has eroded the division’s expertise and industrial contacts. At present, there are some areas in which critical divisional functions are served by a single person, with no redundancy to provide for naturally occurring changes in staff. In these areas, staffing has been stretched so thin that further loss or changes would require the postponement, if not the cancellation, of planned activities, and capabilities and function would probably suffer an irreversible loss. Also, the personnel and budgetary limitations mean that in some programs, work is limited to

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.2 Sources of Funding for the Electricity Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

7.8

7.8

7.6

7.6

Competence

1.1

0.9

0.5

0.4

ATP

0.3

0.3

0.2

0.2

OA/NFG/CRADA

1.5

1.3

1.7

2.1

Other Reimbursable

1.1

1.2

1.1

1.2

Total

11.8

11.5

11.1

11.5

Full-time permanent staff (total)a

70

65

63

57

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aThe number of full-time permanent staff is as of January of that fiscal year.

maintaining equipment and meeting current needs and there is no time or money to develop the technologies that will be necessary to provide the metrology required by industry in the future. The panel is therefore concerned that the current limitations on resources may interfere with the Electricity Division’s ability to sustain its leadership in electrical metrology to the extent necessary to support U.S. industry.

The panel would like to cite three examples from the past year of actual or potential consequences of the budgetary constraints. A particularly egregious case was the delay in the Electronic Kilogram project. Because there was no money available to purchase liquid helium for cooling the system, staff were unable to take measurements for several months. Another potential problem could be in the Voltage Metrology program. There are tentative plans to suspend some 60-hertz voltage metrology services. This decision appears to have been entirely motivated by budget limitations. While the plan currently calls for U.S. needs in this area to be fulfilled by testing facilities at the Canadian National Research Council’s Institute for National Measurement Standards, an alternative approach might be to partner with an American commercial laboratory, which could offer voltage metrology as a profit-making or cost-recovery-priced service. Such a laboratory would allow the United States to retain a basic metrology service for voltage measurement capability within its borders. Another programmatic cutback attributable to resource constraints was the scaling back of work on partial discharge detection methods. Partial discharge diagnostic approaches are particularly appropriate for condition assessment (determination of wear) of major electric power equipment and for detection of incipient failures in power grids. Given the aging infrastructure of the U.S. power grid, such testing methods could be of immense value.

The panel is deeply concerned about the long-term consequences of the limitations on human and fiscal resources in the Electricity Division. This group has a vital role to play in supporting the ability of U.S. companies to participate in global markets. Standards are occasionally used as trade barriers, and extensive financial backing is given to standards organizations in Europe and Asia. The panel is concerned that U.S. electronics and electrical industries may suffer a competitive disadvantage if NIST, the American standards laboratory, cannot maintain its traditionally high quality of technical work. If

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

the trend of flat or shrinking budgets continues, the panel expects that EEEL will find it increasingly difficult to play its critical role as a leader in metrology research and services for the relevant American industries. A survey of the measurement capabilities of other laboratories might help NIST with difficult decisions about dropping or curtailing some of its vast range of services. The panel cautions that this is not a desirable step, nor one to be taken lightly. It recommends that if services need to be discontinued, ways should be found to keep the needed capabilities within the United States so as not to depend on laboratories or resources in other countries.

This year, the panel noticed several factors that were having a discernible impact on morale. The most significant factor is the protracted budgetary restrictions. Employees have realistic concerns about their long-term ability to access the resources they need to contribute effectively to the laboratory mission. The refocusing and redirections of the past year have improved the Electricity Division’s efficiency, but a clear danger exists that morale can be undermined further by continued tightening. Another factor affecting morale is the changes and uncertainty in management personnel. In the last year, there have been two new division chiefs and one new deputy chief. Also, three levels of management (the Electricity Division Chief, the EEEL Director, and the NIST Director) are all serving in an acting capacity. Regardless of the quality and personal commitment of these managers and the reasons for these changes, the potentially temporary nature of these assignments make long-term planning and commitment to programs difficult, and the implicit lack of permanence in direction and leadership hurts morale. EEEL should provide more stability for the Electricity Division in the next year and reassure employees about the long-term stability of the division.

Another area in which clearer management goals might be helpful is the new listing of laboratory values. These were presented to the panel as (1) integrity, (2) impact, and (3) excellence. The panel agrees that these are appropriate values and recognizes that the laboratory places a great deal of emphasis on assuring that its activities are relevant to the needs of its customers and have positive impact on the U.S. economy. However, the panel is somewhat concerned that listing “excellence” below “impact” might be interpreted as meaning that quality is secondary to commercial value in EEEL’s priorities. Since a great deal of EEEL’s reputation and value is based on its image as a provider of measurements and standards of undisputedly high technical quality, the laboratory must be careful to maintain its position of leadership. The staff’s pride in their work and in being a part of the NIST environment is also based on a tradition of technical excellence, and management must be sensitive to any misinterpretation of the new list of values. Possible alternative approaches to communicating the values include listing them without enumeration or representing them graphically as the three sides of triangle in which all contribute equally to EEEL activities.

In the area of equipment and instrumentation, resources appear to be adequate to meet present needs, although the ability to prepare for and meet the future metrological requirements of industry will be impeded without serious capital investment. The panel is pleased that a new laboratory building is under construction, but planning must begin now on how NIST will fund the purchase of new equipment for these state-of-the-art laboratories. One area in which the panel sees a growing need for new instrumentation is thin-film and lithographic tools. In many cases, these tools can replace to good advantage older tools, some of which can no longer be maintained or replicated. However, acquiring the instrumentation would be a capital-intensive effort. While the need is being met effectively in the short term through the use of alternative approaches and external collaborations, in the long term this need will ultimately require additional funding. The panel is concerned that there does not appear to be a long-term plan for meeting the emerging needs in this area. The panel emphasizes that funding for thin-film tools should not come from further potentially devastating reductions in staff.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Semiconductor Electronics Division

Technical Merit

According to division documentation, the mission of the Semiconductor Electronics Division is to provide leadership in developing the semiconductor measurement infrastructure essential to improving U.S. economic competitiveness by providing necessary measurement, physical standards, and supporting data and technology, associated generic technology, and fundamental research results to industry, government, and academia.5

This mission statement is appropriate and describes accurately the programs currently under way in the division in support of the NIST and laboratory missions. Division staff are well aware of the importance of seeking out the issues facing the semiconductor industry in which the unique capabilities of NIST can be applied effectively to produce solutions in a timely manner. As a result, the industry views the Semiconductor Electronics Division’s contributions as essential. The panel applauds the division’s ability to provide, without bias, the measurement techniques and standards required by industry in a way that allows NIST work to benefit multiple companies. NIST staff are clearly aware of the future needs and opportunities of the semiconductor industry, and the division’s careful prioritization and well-thought-out planning processes reflect this knowledge. The division personnel have demonstrated high levels of management skills and technical expertise and competencies in carrying out the division mission, in selecting relevant projects and reasonable milestones, and in producing important deliverables.

The panel is pleased to see that the many industrially driven programs in the division are complemented by the basic scientific research necessary to build competencies and fundamental understanding of relevant systems. These efforts are how the Semiconductor Electronics Division is preparing to meet future measurement methods and standards needs of the silicon and compound semiconductor industries and are necessary to keep the division programs at the cutting edge of metrological technologies. Excellent examples of the sort of basic research that the panel encourages are the spectroscopic ellipsometric studies of high-k dielectrics and the determination of parameters critical to gallium arsenide (GaAs) processing. The panel stresses that these sorts of investigations of fundamental questions are an indispensable element of a balanced portfolio of programs.

The panel continues to be impressed with the technical quality of the programs under way in the Semiconductor Electronics Division. An important factor in the merit of the work is the quality of the staff, and the panel notes that the accomplishments of division personnel have been recognized by governmental and external organizations. In 2000, a three-man team received a Department of Commerce Bronze Medal for “greatly accelerating the development of scanning capacitance microscopy as a practical measurement tool,” and two individuals received, respectively, the IEEE Third Millennium Medal for outstanding achievements and expertise in the areas of wire bonding and the packaging of semiconductor chips and a Distinguished Alumnus Award from Purdue University for international leadership in the field of narrow-gap semiconductors. In 1999, another staff member received a Depart-

5  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Semiconductor Electronics Division: Programs, Activities, and Accomplishments, NISTIR 6589, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

ment of Commerce Bronze Medal for developing standards and methods for resistivity measurements that have been widely deployed in industry.

Semiconductor Electronics Division staff are organized into three groups: Materials Technology, Device Technology, and Integrated Circuit (IC) Technology. Within these groups, there are eight programs, highlights and issues from each of which are discussed below.

The newest activity in the division is the high-visibility Competence project on molecular electronics (sometimes called “moletronics”). This state-of-the-art effort explores the viability of molecular microelectronic devices and aims to develop the metrology needed to support the construction and use of such devices. This is an interdisciplinary project, and the issues will be tackled by a large-scale collaboration among the Semiconductor Electronics Division, the NIST Chemical Science and Technology Laboratory, and Yale, Rice, and Pennsylvania State Universities. The panel found this team to be a balanced collection of personnel committed to a series of tasks with well-defined and realistic overall goals. Current plans call for specific molecules to be examined as potential circuit elements, such as wires, diodes, amplifiers, and switches. The division staff will provide expertise in MEMS, which will be used to fabricate novel molecular test structures through molecular self-assembly.

This is a high-risk project, and the panel cautions that the division and NIST must be tolerant of setbacks, which are likely to occur in basic research activities such as this one. A long-term commitment is needed if this work is to be successful and the NIST effort is to keep pace with the programs already under way at the collaborating universities. This project, with its focus on technologies so far in advance of what is currently being used or planned for in industry, is not like the normal activities in the Semiconductor Electronics Division. However, the panel finds that it is indeed consistent with the overall objectives of the division and of NIST because it will build expertise in an area from which many people expect the next generation of higher density, faster microelectronic devices to arise. While there are many active programs in this area at universities and other government agencies, NIST’s unique capabilities will allow it to make significant contributions in characterization and standard test structure development.

The Metrology for Compound Semiconductor Manufacturing program investigates process characterization tools and methods for optimal growth of epitaxial GaAs device structures. A major accomplishment of this effort is the development of a state-of-the-art molecular beam epitaxy (MBE) facility, which is equipped with an extensive set of in situ characterization tools for monitoring and control of GaAs process parameters. Using this facility, NIST staff have been able to develop characterization and control techniques necessary to optimize GaAs processing. Techniques identified and optimized by the staff include the process of delta doping for pseudomorphic high-electron-mobility transistors (pHEMT) and the use of diffuse reflectance spectrometry (DRS) for temperature measurement and control. The Semiconductor Electronics Division’s findings have been successfully transferred to industry, resulting in improved device properties and yields. The next challenge in this program will be how to broaden the scope of the effort to include emerging compound semiconductor materials such as group III nitrides, indium phosphide (InP), and silicon carbide (SiC). Unfortunately, the existing MBE system is not equipped to work with these novel materials, and significant capital investment is likely to be required to allow the division to make the necessary modifications.

In the Scanning Probe Microscope Metrology program, the division has led the community in the development of SCM as a tool for the two- and three-dimensional dopant profiling required for transistor analysis and computer-aided design characterization. The International Technology Roadmap for Semiconductors (ITRS) says that industry will need 3-nm spatial resolution in the profiling by 2001, and although NIST is somewhat short of this goal, the technique developed here is still far ahead of any viable alternative method. The panel commends the division’s work in this area and notes that further

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

demanding tasks lie ahead, including the design of new probes to continue increasing the spatial resolution, the addition of new capabilities for accurate gate/junction edge location measurement, and the development of software to support all of the new techniques.

In the work on Thin-Film Process Metrology, optical data, optical models, and measurement capabilities are being developed to support key ITRS needs for the characterization of candidate advanced gate dielectric materials. The panel finds this work to be timely and the measurement methodologies being developed for spectroscopic ellipsometry and for comparison of current-voltage (C-V) characterization models to be highly relevant to industrial needs. Recent accomplishments include the development of a software package for extracting the thickness distributions and dielectric constants of multilayer dielectric stacks and successful use of this software to model a number of high-k dielectrics of current interest, including tantalum oxide (Ta2O5), zirconium oxide (ZrO2), and hafnium oxide (HfO2). The software appears to be a very valuable tool and is currently being disseminated to potential users in industry, although the panel feels that a mechanism for how commercial vendors will incorporate the software package into their instruments has not yet been clearly defined. The experimental measurements are done on a custom-built ellipsometer, the most accurate in the world for precision characterization, and while the panel is impressed by this instrument, it notes that issues related to its long-term stability still need to be resolved. Another challenge is producing systematic methods for selecting high-k dielectrics for in-depth study in the absence of a good understanding of relevant materials properties.

The Metrology for Simulation and Computer-Aided Design (CAD) program aims to facilitate the efficient and reliable application of semiconductor CAD to the development of a whole range of modeling methodologies and validating and benchmarking procedures. The staff on this project have demonstrated superb technical competence in recent years, especially in their work on insulated gate bipolar transistor (IGBT) modeling, which has had a significant impact on industry. The IGBT project has successfully concluded, and resources have been shifted to several new projects. Two impressive examples of the emerging, industry-relevant efforts in this program are the project on metrology for SiC diode characterization and the work on a high-speed thermal imaging system. In the first area, staff have developed a highly capable test system for measuring transient responses of high-voltage, high-current switching events. An impressive collection of data on state-of-the-art SiC diodes has been obtained under a full range of application conditions. To date, samples for these tests have been supplied by a single company, and the panel strongly encourages staff to reach out to other companies and expand this industrial collaboration as soon as possible. The other impressive new thrust within the Metrology for Simulation and CAD program is the development of a high-speed thermal imaging system. This system enables scientists to view instantaneous temperature distributions of small heat sources, and the capabilities have been demonstrated in a movie showing the time evolution of heat distribution on a functioning transistor. Imaging capabilities like this have significant potential to increase the understanding of a variety of systems and to support new process monitoring approaches; the panel feels this technology is likely to be developed into a commercial instrument.

The team working on MEMS has designed unique static and dynamic test structures that utilize MEMS technology to measure the stress and strain of thin films on IC structures. To confirm industrial applicability, staff have demonstrated that the test structures and fabrication methods are compatible with standard IC process techniques and can be imbedded in certain industrial IC processes for measurement purposes. This work is still in the early stages, and staff are fully aware of the many fundamental issues that remain to be addressed. Other efforts in this area include work on technologies to measure bond pad temperatures during ultrasonic wire bonding. The structures developed at NIST are providing the first accurate in situ measurements of these temperatures and are expected to allow better character-

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

ization and optimization of the bonding processes. The panel observed that a number of groups in the NIST Measurements and Standards Laboratories are working in the area of MEMS technologies; better coordination and a more coherent approach to MEMS-related activities is needed and would strengthen the overall NIST effort in this area.

In the Linewidth and Overlay Standards for Nanometer Metrology program, the primary accomplishment is the demonstration of prototype state-of-the-art, low-cost critical dimension (CD) measurement standards. In these standard wafers, representative structures are calibrated using atomic lattice spacing measurements from transmission electron microscopy (TEM) cross-sectional imaging. Other devices on the same wafers are then calibrated against the atomically measured structures by electrical resistivity measurements. Using this technique, the numerous low-cost calibrated structures critically needed by industry can be manufactured cost-effectively with traceability to atomic level spacing. This approach has been enthusiastically received by industry, and a plan to transfer the methodology and the manufacture of these standard wafers to a commercial supplier is in place. Several factors contributed to delays in the transfer, but the work is now back on track, and the panel notes that the transfer mechanism for this division result is a good one and could serve as a model for other division results.

The Dielectric and Interconnect Reliability program aims to produce standardized test methods, physical models, tools, and diagnostic procedures as well as actual reliability data and electrical characterization results. Customers for NIST results include manufacturers, standards organizations, and instrument makers such as Hewlett-Packard, Keithley, Qualitau, and Reedholm. This wide array of groups interested in the program’s outputs is a result of the NIST reputation for leadership in this field. The quality of this program is also demonstrated by the fact that foreign standards organizations and companies have adopted the NIST standards produced in this area. One recent accomplishment of the Semiconductor Electronics Division is the development of reliability test methods for metal-oxide-semiconductor transistor devices with ultrathin silicon dioxide layers. When using these ultrathin (less than 3 nm thick) oxides, it is advantageous to fabricate alternative dielectric films; currently the most common approach is adding nitrogen to the silicon dioxide films. These new approaches require development and characterization of new reliability test methods, and NIST is successfully working in this area.

The one concern about the Dielectric and Interconnect Reliability Metrology program is in the area of interconnect reliability metrology. Historically, the division provided leadership in the development of test structures and methods for characterization of aluminum interconnect films, and NIST techniques are now commonly used throughout the semiconductor industry. However, industry is now in the middle of the transition to copper interconnects, and several companies have already started high-volume manufacturing of products based on the new copper technologies. This transition was planned, and the Semiconductor Electronics Division recognized that test structures and methods would be needed to characterize the new interconnects, but a lack of resources has prevented NIST from making any progress in this area. The panel is deeply disappointed that this important industrial need has had to go unmet.

Program Relevance and Effectiveness

The Semiconductor Electronics Division has played a key role in the phenomenal growth of the semiconductor industry and in helping U.S. companies maintain leadership in the development and manufacture of silicon and compound semiconductors. NIST continues to provide the state-of-the-art measurement capabilities and standards necessary to support industry’s ongoing efforts to push physical and chemical limits in the production of new devices and processes. The panel finds the division’s

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

efforts to be relevant to industry needs and an important element in sustaining the productivity of this sector of the U.S. economy.

Division staff effectively reach out to industry through participation in roadmapping activities, industrial partnerships, international conferences, standards bodies, and industry consortia. These activities provide NIST personnel with a comprehensive working knowledge of current and future critical measurement and standard needs of the semiconductor industry. As noted in past reports, the panel is supportive of staff’s key roles in working groups that set the metrology requirements in the ITRS. Semiconductor Electronics Division personnel have been active in the industrial effort to develop the first compound semiconductor roadmap. This push started at the 2000 International Conference on Compound Semiconductor Manufacturing Technology, and efforts will continue at the 2001 meeting. A NIST project leader serves on the organizing committee for these conferences. Other important international activities in which staff participate include an International Standards Forum Workshop on MEMS standardization, which focused on the accurate measurement of thin-film properties, and a Joint Electron Device Engineering Council (JEDEC) committee responsible for providing an unbiased method for determining gate oxide reliability. The division also continues to organize the well-attended series International Conferences on Characterization and Metrology for Ultralarge-Scale Integration (ULSI) Technology; the 2000 conference was a success, and planning for the 2002 meeting is already under way. Outreach by the division is not limited to face-to-face interactions with relevant communities; the staff also use the Web. For example, the Semiconductor Electronics Division has a Web site dedicated to teaching and standardizing the Hall measurement process for compound semiconductors. This reference site is a good example of effective use of the Internet to make NIST expertise widely available and is complemented by NIST’s organization of a round-robin among 11 domestic and international companies; the intercomparison is designed to assess how well these measurements are being carried out in practical situations.

An important mechanism for disseminating division results is the extensive partnerships forged with external organizations, including individual companies, industry consortia, university researchers, and other U.S. government laboratories. These collaborations and informal relationships not only provide input on how to address key industry needs before and during divisional projects, but also facilitate the rapid transfer and implementation of NIST results. For example, staff set up collaborations with companies from both the equipment and device manufacturing sectors in order to demonstrate that NIST-developed techniques for using pHEMT and DRS can enhance the tools currently used for in situ process characterization. Another area in which the Semiconductor Electronics Division’s extensive array of partners has made a significant difference is work on SCM measurements and related software for two-dimensional dopant profiling. During the development process, NIST collaborated with two DOE laboratories (Los Alamos and Sandia) and three universities, and for technology transfer, staff partnered successfully with Lucent, Texas Instruments, Motorola, and Intel. This work was a very good example of how NIST staff make a difference: they solve industry problems quickly and correctly and then share the resulting methodologies with equipment makers and the rest of the community. In this particular case, the measurement techniques are used to characterize junction profiles and the data produce higher accuracy in the models used to design IC products. The primary result is a much shorter design cycle and quicker transition to the production stage, which has significant economic benefits for all parties.

The semiconductor industry is an international collection of companies operating in a global marketplace. While the focus of the Semiconductor Electronics Division is on benefiting the U.S. economy, many of the division’s research and development projects and standards activities have international effects. At the most basic level, the interdependent nature of the complex supply and manufacturing

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

processes employed by a vast number of companies in different locations implies that the adoption of standards is necessary for the industry to survive. NIST develops standards and related technologies that are usually the most accurate and advanced in the world. These products are adopted first by U.S. companies (providing them with an initial advantage in the marketplace) and then spread rapidly to the rest of the world. Mechanisms for this dissemination include sales to foreign companies of equipment made by U.S. instrument manufacturers, use of NIST products by foreign standards laboratories, and adoption of NIST standards or methods by U.S. standards organizations, such as the Electronics Industries Alliance, JEDEC, or the American Society for Testing and Materials (ASTM), which serve international communities. Examples of areas in which NIST standards have been disseminated globally include the use of gate oxide standards by the Electronic Industries Association of Japan.

Division Resources

Funding sources for the Semiconductor Electronics Division are shown in Table 2.3. As of January 2001, staffing for the Semiconductor Electronics Division included 38 full-time permanent positions, of which 33 were for technical professionals. There were also 3 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

In the past year the division was not able to make progress on its goal of increasing funding from external sources, specifically other government agencies or companies. The panel cautiously encourages renewed efforts in this area. The objective should be to find funding to support projects that are in line with the division mission and will not distract staff from making progress on programs already identified as high priorities for the division. A good example of how the division can effectively leverage other agency money to support relevant work is the project on metrology for SiC diode characterization, which is funded by the Defense Advanced Research Projects Agency (DARPA). An example of the dangers of relying on noncore funding is the current concern about support for the MEMS project. This work depends in large part on money from the Advanced Technology Program

TABLE 2.3 Sources of Funding for the Semiconductor Electronics Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

7.4

7.7

7.2

7.0

Competence

0.3

0.1

0.2

0.4

ATP

0.6

0.6

0.4

0.3

OA/NFG/CRADA

0.2

0.2

0.3

0.1

Other Reimbursable

0.1

0.1

0.0

0.0

Total

8.6

8.7

8.1

7.8

Full-time permanent staff (total)a

43

45

39

38

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aThe number of full-time permanent staff is as of January of that fiscal year.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

(ATP), which is politically vulnerable, and continuation of this support from year to year is uncertain. In general, doubts about the stability of funding make long-term program planning difficult.

One factor motivating the division to seek external funding is that its budget has been flat or declining since 1995. A major consequence of this trend is a corresponding decline in the number of full-time permanent members of the staff. The division has increased the number of guest researchers, postdoctoral associates, and short-term contract workers to compensate for this drop in the number of permanent personnel, and the panel commends management’s effort to work around this issue. However, it is important to acknowledge that long-term program planning is hindered when a significant percentage of the staff is transitory and certain expertise may not be able to be maintained as core competencies. An example of this problem can be seen in the division’s inability to field work on copper interconnect reliability. Another difficulty that has arisen as a consequence of staffing limitations is a growing shortage of support staff. More technicians are needed to maintain and operate complex equipment and to process materials throughout the division laboratories, especially in the new clean room. The productivity of the senior staff and researchers is negatively affected when they are unable to delegate more routine tasks to others.

Another factor affecting the productivity of the division is the age and quality of the instruments available at NIST. Some of the equipment is approaching obsolescence, and the division’s capital equipment budget is inadequate to keep pace with the changing technological capabilities being used in the U.S. semiconductor industry. (Most of the modern tools cost between half a million and several million dollars, while the division can spend just under a million dollars annually on capital purchases.) As NIST is responsible for providing the present and future measurement methods needed by industry, it is essential that the measurement capabilities of its tools be equal to or better than those of the tools companies are using to manufacture their products. The panel recognizes that purchasing new instruments will require additional funds for both capital expenditures and operating expenses (for depreciation and for technicians), but the resulting increase in effectiveness would be worthwhile. Currently, the very capable people on the division’s staff are required to use labor-intensive old equipment to try to remain ahead of the metrology needs of a very large, strategically important U.S. industry. If modern instruments and the technicians to run them were available, research personnel could focus more appropriately on project-oriented activities and have more time for outreach activities. For example, in the division’s fabrication facility, having newer, automated equipment would reduce the overall time spent managing semiconductor processing tasks and having technicians who specialize in supporting and operating such wafer fabrication equipment would eliminate the waste of time and intellectual capabilities that occurs when NIST has to train and use Ph.D. scientists to do these tasks.

NIST should be particularly concerned about maintaining the productivity of the technical staff because the personnel in the Semiconductor Electronics Division are a world-class collection of engineers and scientists with unique expertise in the field of metrology. The panel observed that morale among the staff was high, owing to an environment in which research opportunities are good, management is extremely competent and well respected, and it is possible to garner recognition and appreciation for efforts that make a difference to the international semiconductor industry. While compensation levels in government are lower than in the private sector, the staff’s enthusiasm for what they do at NIST is such that employee attrition rates are and have been very low. While this has allowed the division to build and maintain an impressive assortment of expertise, it also has resulted in a situation in which about 30 percent of the technical staff will become eligible for retirement within the next 3 years. The division will therefore have a significant opportunity and a serious challenge as they look to hire new people. This process is already starting in the area of Metrology for Compound Semiconductor Manufacturing, where two key staff members, including the program leader, departed for industry positions in

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

the past year. Whoever fills this leadership position will, like the rest of division management, face the long-term question of how to broaden the division’s agenda to include new technologies and to address the needs of a rapidly changing compound semiconductor industry in the face of limited capital and human resources available at NIST.

In this time of tight budgets, one effective approach is the use of external organizations to provide the infrastructure needed to meet program objectives. Where doing so is realistic, division staff have utilized national laboratory and industrial facilities to gain access to processing and measurement capabilities not currently available at NIST. The panel supports this way of compensating for the division’s aging equipment and facilities but notes that there are potential downsides. For example, in the Thin-Film Process Metrology program, the dependence on external sources for high-k dielectric material samples has significantly delayed progress. In the Linewidth and Overlay Standards for Nanometer Metrology program, the division was not able to fulfill commitments made to industry for the delivery of samples for critical dimension standards. NIST depends on an external source for materials fabrication, and this external source misprocessed the specialized silicon wafers needed to produce the standards. Due to extraordinary efforts on the part of Semiconductor Electronics Division staff, the problem has now been addressed and the program is back on track (although severely delayed). However, the panel notes that the most serious consequence of this setback is that NIST experienced a significant loss of credibility with industry.

The panel is very pleased to be able to highlight the division’s outstanding work on the recent restoration of a clean room facility on the NIST Gaithersburg campus. The Semiconductor Electronics Division hired a full-time expert to restore, maintain, and improve operation of this clean room, and several key capabilities were added. Appropriate training and qualification is being provided to staff in other divisions so that they too may use this facility to support their projects, and staff from across NIST are very appreciative of this new resource. The panel is concerned that there are still some instruments that are needed, such as equipment for reactive-ion etch processing, but division staff are aware of these gaps and are working on pooling funds from several divisions to purchase the needed equipment.

While the new clean room is a significant step in the right direction, the majority of the division’s facilities are in an aging building, Building 225, on the Gaithersburg campus. This building is suitable for office space and moderate size analytic tools and instruments, but its infrastructure cannot support semiconductor test structure fabrication facilities. The Advanced Measurement Laboratory (AML), which is currently under construction and scheduled to be completed in 2004, presents a major opportunity for NIST to provide its staff with the type of facility they need to perform state-of-the-art research on measurements and standards so that they will be prepared to meet future industrial metrology needs. Plans call for a clean room to be built in the AML, and this will be a good chance to add new state-of-the-art processing capabilities and measurement tools at NIST. However, the panel is concerned that, as of the spring of 2001, there did not appear to be a plan in place or a budget committed for providing the staffing and equipment for such a facility. This is a rare and important chance for NIST staff to identify key industrial needs and the instruments and capabilities to support them. Division staff should work with industry on this question and focus on critical metrology requirements defined in the silicon and compound semiconductors roadmaps. This input from the private sector should provide additional evidence for the value of this facility and could help staff during the budget process, when they need to justify the expenses associated with equipping the clean room.

Overall, the panel believes that the Semiconductor Electronics Division is doing the best it can to support a broad array of projects relevant to industrial needs given the limitations on human and fiscal resources and the aging equipment and facilities. The panel emphasizes, as it did last year, that for NIST to maintain a leadership role in semiconductor metrology, to continue to provide key results to industry,

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

and to develop important technologies and products in advance of industry need, the division must have access to stable core competencies and the infrastructure for state-of-the-art measurement capabilities.

Radio-Frequency Technology Division

Technical Merit

According to division documentation, the mission of the Radio-Frequency Technology Division is to provide the national metrology base for characterization of the electromagnetic properties of components, materials, systems, and environments, throughout the radio spectrum.6

This mission statement is consistent with the laboratory mission, and the work being carried out by the Radio-Frequency Technology Division appropriately supports this mission. Ongoing activities are organized into six programs: Fundamental Microwave Quantities, High-Speed Microelectronics, Wireless Systems, Electromagnetic Properties of Materials, Antenna and Antenna Systems, and Electromagnetic Compatibility. The panel continues to be impressed with the technical merit of the work under way in this division. Below, some key accomplishments from the past year are described.

In the High-Speed Microelectronics program, NIST focuses on research and development of on-wafer metrology techniques, including the fabrication of coplanar and microstrip calibration standards, the development of measurement methods for scattering, impedance, and noise parameters, and the development of methods for the characterization of complex interconnect structures. Recently, division staff, in collaboration with personnel at International SEMATECH, demonstrated a new process for fabricating conductors on top of a low-k thin film and successfully applied the process to a low-k thin film deposited by a supplier on a NIST substrate. New test structures were obtained from International SEMATECH and measurements of low-k properties of these structures have been completed. Another impressive achievement in this program is the development of a mismatch correction algorithm for time-domain electro-optic sampling systems. This technique is an important advance in metrology with specific relevance to characterization of photonics components at optical carrier level 768 (40 Gbps) and higher data rates.

In the Wireless Systems program, the Nonlinear Device Characterization project focuses on the development of new and general methods of characterizing the nonlinear devices and components used in digital wireless communications and the transfer of those methods to industrial research and development laboratories. Recent accomplishments include completion of an equivalent circuit model for coaxial standards, development of the first artificial neural network models for open-short-load-thru calibrations (these models describe the calibrations to within 2 percent), and demonstration of improved lumped element calibrations in vector network analysis using artificial neural network models for coaxial loads. In the work on Standards for Broadband Wireless Access, the IEEE Committee on Broadband Wireless Access (802.16), chaired by a NIST staff member, is providing leadership and support for the wireless industry’s efforts to establish a single air interface standard over licensed and unlicensed frequency bands in the range 2 to 66 GHz. This committee is working toward a uniform air interface standard as well as on recommendations for the practice of coexistence, in order to help operators to avoid destructive interference.

6  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Radio-Frequency Technology Division: Programs, Activities, and Accomplishments, NISTIR 6603, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Within the Radio-Frequency Fields Group, the division is successfully exploiting the synergy between the projects related to antennas and the work on electromagnetic compatibility (EMC). The commercial EMC community is becoming increasingly interested in developing acceptance criteria and site calibration methods for open area test sites at frequencies greater than 1 GHz. However, the techniques that are adequate below 1 GHz become increasingly unreliable as frequencies exceed 1 GHz. The staff of the Antenna and Antenna Systems program have a great deal of expertise in working at these higher frequencies. Sharing the experiences of staff from both programs should enhance NIST’s ability to contribute significantly to the committees generating EMC standards for test facilities and measurements at these higher frequencies, and the panel commends the participation by NIST personnel in Subcommittee A of the International Special Committee on Radio Interference (CISPR). The reorganization of the division a few years ago brought staff working on antennas and staff working on EMC closer together organizationally, and the panel is pleased to see the increased collaboration in this important area.

Program Relevance and Effectiveness

The Radio-Frequency Technology Division continues to make progress on aligning its projects with the needs of the telecommunications and wireless industries. A strategic plan covering the next 5 years would be a useful tool for budget allocation as well as for program prioritization during a time of rapid technological changes. One factor that may be impeding the generation of such a plan is the significant turnover in both NIST and laboratory-level management in the past several years. The panel believes that in the face of this uncertainty, division management has done a commendable job of nurturing entrepreneurial efforts by individual staff members to create new projects that are extending the state of the art in the area of radio-frequency technology.

The current portfolio of division programs serves customers from several communities. From calibration services to standards committee participation to research and development on new measurement methods and equipment, a wide range of division activities are positioned to impact a number of industries, including wireless communications, semiconductors, and the many types of manufacturing affected by electromagnetic compatibility issues. Division results are disseminated by mechanisms such as archival publications, conference presentations, workshops, courses, and external interactions. Software developed at NIST is also available for download from the Radio-Frequency Technology Division’s Web page, including a variety of programs in support of metrology for high-speed microelectronics. Below, the panel discusses some of the ways that results and accomplishments of division projects impact NIST’s customers.

The Electromagnetic Properties of Materials program includes calibration and measurement service activities, and NIST staff have utilized the frequent interactions with customers that occur in the course of such services to gain a deep understanding of customer needs and problems. The program therefore is able to produce measurement algorithms, software, and archival publications that advance the state of the art in characterization techniques and are directly relevant to industry needs. An example of NIST’s effectiveness in this area is the dialogue on characterization of low-temperature, co-fired ceramic materials with the companies that manufacture these materials for the microwave/millimeter-wave wireless industry. A series of meetings with these manufacturers resulted in the suggestion from industry that NIST organize a formal consortium in this area.

The Radio-Frequency Technology Division has several activities that impact the wireless communication industry. Staff working on the Nonlinear Device Characterization project are actively collaborating with world leaders in instrumentation and nonlinear theory and techniques to produce cutting-edge results in device and component metrology. The industrial relevance of this area was confirmed when

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

it was recently awarded a multiyear grant from the NIST ATP. In the Standards for Broadband Wireless Access project, the key contribution is NIST’s proactive role in the development of technically superior standards for wireless communications. Fixed broadband wireless access systems have the potential to provide competitive alternative connections to Internet, voice, and video networks for residential and business sites. By focusing on accelerating the generation of standards for fixed systems, NIST can facilitate the widespread deployment of these systems.

The High-Speed Microelectronics program is active in areas with impact on the photonics community as well as on the semiconductor industry. In both areas, Radio-Frequency Technology Division staff have successful collaborated with personnel outside the division to produce important results. The product of the division’s collaboration with the Optoelectronics Division was a new mismatch correction algorithm, which will be an important metrology tool for the photonics community as it moves to higher data rates (40 Gbps and beyond). The High-Speed Microelectronics program’s work on accurate methods of low-k dielectric characterization provides the semiconductor industry with techniques that will be key to process development for gigahertz-level microprocessors. For this project, International SEMATECH fabricated the low-k samples and NIST developed the transmission-line methods used for characterization.

In the Electromagnetic Compatibility program, NIST develops and evaluates reliable measurement standards, test methods, and services to support the EMC needs of U.S. industry. Because the uncertainties surrounding EMC and related measurements directly impact the competitiveness of U.S. manufacturers and the reliability of their products, the main objectives of the division’s work in this area are to ensure harmony and international recognition of U.S. measurements for trade, to provide physically correct test methods, to provide national calibration services, and to serve as an impartial expert body for resolving measurement inconsistencies. To accomplish these goals, the Radio-Frequency Technology Division is actively involved in international and domestic standards activities. NIST is currently represented in the following standards activities:

  • American National Standards Institute (ANSI), WG1–15.6 (antenna calibration),

  • IEC, TC46/WG6 (passive intermodulation),

  • IEC/CISPR, SC A (radio-interference measurements and statistical methods),

  • ANSI, SC1 (site qualification requirements for measurements>GHz),

  • IEC/CISPR, SC D (interference relating to automobiles),

  • IEC/CISPR, SC G (interference relating to information technology equipment), and

  • IEC, TC77B (radiated high-frequency EM phenomena).

In the Electromagnetic Compatibility program, the division continues to provide theoretical understanding, measurements, and tools to help assess the impact of the growing ultrawideband (UWB) industry. By characterizing UWB devices, division staff have made a vital contribution to understanding the potential interference effects of UWB radio and other devices on existing radio services such as global positioning systems and airport navigation systems. Staff also developed UWB chamber qualification tools based on time-domain evaluation of site attenuation. This method enables the direct assessment of absorber performance of fully anechoic chambers, as called for in draft standards. Other efforts under way are focusing on metrology for standard electromagnetic fields and for field transfer probe standards. Achievements from the past year include finishing the design and beginning the testing of a near-field standard probe that incorporates a loop antenna with double gaps. This probe will allow simultaneous measurement of both electric and magnetic fields and will be a fundamental tool for

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

performing electromagnetic interference testing in regions close to source antennas and to large test objects such as aircraft.

Currently the primary focus in the Emissions and Immunity Metrology project at NIST is on understanding and utilizing reverberation chamber technology for EMC testing. At the present time, such chambers produce results that do not directly correlate with results from traditional EMC test facilities. The panel feels that the division’s challenge is to bridge that gap, allowing industry to reliably make use of an important new technology for large-scale EMC testing. A related effort is the work in CISPR Subcommittee A on methods of calculating uncertainty in emissions and immunity measurements. Interest in this subject is increasing in the regulatory community, and standard methods of computing uncertainty for EMC measurement processes is a pressing need. The experience of Radio-Frequency Technology Division staff in this area will make them important contributors to the Subcommittee’s efforts.

One of the most direct ways in which NIST benefits industry is the division’s many high-quality calibration activities. For example, the Fundamental Microwave Quantities project focuses on calibration services in three areas: power and voltage standards, scattering parameters and impedance, and noise standards and measurements. Through these efforts, the division is able to provide core measurement services in power, impedance, voltage, noise, and transfer standards at frequencies of 10 kHz to 110 GHz. The primary desire of the customers of these services is to receive rapidly executed calibrations at a low cost. The division has limited personnel available in these areas, but their efforts have been appropriately focused on increasing the level of automation for services where the need is most pressing. One success was implementation of a new coaxial radiometer for the noise-temperature measurements. This new instrument allows the division to expand its calibration services to cover the frequency range from 4 to 12 GHz as well as to execute measurements 10 times faster than had previously been possible. As a result, calibration fees have been reduced.

In addition to the calibration services described above, the Radio-Frequency Technology Division provides other vital services to various user communities, including near field probe calibrations, antenna gain measurements, testing programs for traffic speed measurement devices, shielding effectiveness measurements, certification of Department of Defense radar cross section measurement ranges, and radiated emissions and immunity measurements. Overall, NIST continues to be the primary provider of antenna probe calibration services to U.S. industry and other government agencies. A new project is focused on pioneering measurement techniques for remotely calibrating large high-performance, phased-array antennas such as those used in spaceborne synthetic aperture radars. These techniques would allow NIST to support a new community.

Traditionally, the Radio-Frequency Technology Division has appropriately focused on industrial customers. However, with the growing public concern about the possible health risks of low-level electromagnetic waves from cellular telephones and other devices, NIST expertise could support the work of other government agencies in this area. It is clear from studies on this issue that biological researchers lack an understanding of basic radio-frequency measurement processes, and NIST could provide the National Institutes of Health with expert guidance on how to measure electromagnetic fields so that experiments in this area could be accurately compared and repeated.

Division Resources

Funding sources for the Radio-Frequency Technology Division are shown in Table 2.4. As of January 2001, staffing for the Radio-Frequency Technology Division included 53 full-time permanent

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.4 Sources of Funding for the Radio-Frequency Technology Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

5.2

6.1

5.9

5.7

Competence

0.3

0.4

0.4

0.5

ATP

0.2

0.0

0.0

0.2

Measurement Services (SRM production)

0.0

0.0

0.0

0.0

OA/NFG/CRADA

2.0

1.7

1.9

2.7

Other Reimbursable

1.3

1.0

1.2

1.2

Total

9.0

9.2

9.4

10.3

Full-time permanent staff (total)a

61

56

57

53

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aThe number of full-time permanent staff is as of January of that fiscal year.

positions, of which 48 were for technical professionals. There was also 1 nonpermanent or supplemental person, such as a postdoctoral research associate or temporary or part-time worker.

The number of staff in the Radio-Frequency Technology Division continues to decline. In the past year, the division lost several key personnel as a result of serious illness and retirements. However, management was able to reassign personnel and hire several new individuals whose skills nicely complement those of current staff. The panel commends the division for persevering through a difficult situation and positioning itself well for the future. The staff as a whole appear to be highly motivated, even with the pressure that exists throughout EEEL to do more with less time, fewer people, and less money. Division personnel continually seek ways to perform their jobs in a more efficient manner, especially in the traditional areas of calibration and characterization. In research and development projects, the division is making a conscious effort to increase collaborations and to leverage its resources by hosting guest researchers and employing students.

For many years, the panel’s reports have drawn attention to serious problems with facilities, many of which are dated and are no longer adequate to address emerging commercial and international needs for increasingly precise measurements. Environmental control is a key factor in the uncertainty analysis for virtually every measurement system in the division. Without such control, the quality of the final product delivered to the customer is significantly degraded if not entirely compromised.

Currently, some of the division is housed in Building 24 at the NIST site in Boulder, Colorado. This building is marginally functional. While the road next to the facility has been completed and an air conditioning system has been installed since the panel’s last report, the air conditioning and humidity control system still needs to be adjusted and installation of the sprinkler fire suppression system still needs to be completed before the facility can truly be considered operational. Performing near field antenna pattern measurements under the conditions that prevail in this facility is a significant handicap for NIST staff, and as industry requires measurements at higher and higher frequencies, the situation

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

will get worse. A significant part of the problem has been a lack of maintenance and repair at the Boulder site for many years, but designing and maintaining an environmentally controlled facility within a building not originally constructed for such use is both inefficient and expensive. In addition, the pervasive use of wireless communications and other emerging electronic technologies has made the world’s electromagnetic environment denser and more complex and the performance of electromagnetic measurements and calibrations outdoors increasingly undesirable. The situation can only be expected to worsen with time. For example, the division’s facilities may be adversely affected by the implementation of high-definition television networks over the next few years as Boulder is close to several potential transmitter locations.

In light of the issues described in the preceding paragraphs, the Radio Frequency Technology Division has developed a proposal for a new world-class radio-frequency electromagnetics experimental research and measurement-standards facility, calling it the RF-EM Field Metrology Laboratory (REML). This facility would allow division staff to address a broad range of national and international requirements for precise characterization of free-space and bounded electromagnetic fields throughout the radio spectrum. It would also allow NIST to consolidate the labs and personnel involved in electromagnetic field activities under one roof, which would foster intra-division interaction and collaboration and allow customer and internal research needs to be met more efficiently. Other countries faced with similar issues related to the growing pollution of the electromagnetic environment have invested in next-generation electromagnetically shielded indoor measurement, research, and calibration facilities. The panel suggests that a formal architectural and engineering study of the facility proposed by the division be performed. While the REML would provide useful new indoor capabilities, some radio-frequency measurements require facilities so large that performing the measurements indoors would be prohibitively expensive. Therefore the study should also examine if and how NIST could make use of the National Radio Quiet Zone near the NIST Boulder campus. For example, locating a replacement for the existing ground plane facilities in this zone should be considered.

Electromagnetic Technology Division

Technical Merit

According to division documentation, the mission of the Electromagnetic Technology Division is to enhance the nation’s competitiveness by creating, developing and promulgating state-of-the-art measurement capabilities and standards; using quantum phenomena, low noise available at cryogenics temperatures, and fabrication of specialized integrated circuits, including nanometer-sized devices; emphasizing electrical standards; using unique technical capabilities to assist other NIST organizations with exceptionally difficult measurements; determining data, theory, models, and materials necessary to effectively apply results, and assisting other industrial, government and scientific organizations to adapt division-developed techniques to their needs.

The Electromagnetic Technology Division develops electronic standards and measurement techniques based on quantum effects unique to cryogenic and nanoscale devices. The effectiveness of this program stems from the staff’s success at harnessing such phenomena for use in technologies that achieve unparalleled precision in a range of standards applications and solve challenging measurement problems. Unlike many other NIST divisions, the Electromagnetic Technology Division does not focus on a single industry; rather, it produces results relevant to a wide range of electrical- and electronics-based industries. Activities extend beyond the development and maintenance of electrical standards to investigations of measurement instruments and techniques, including advanced device fabrication technologies. In addition to establish-

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

ing and applying new technologies, the division has contributed significantly to the understanding of fundamental scientific questions and proposed a long string of potential new standards based on these breakthroughs. The panel commends the division for balancing projects that directly support industry, government, and scientific organizations with more fundamental work.

The division is organized into four projects—Josephson Array Technology; Nanoscale Cryo-electronics; High-Performance Sensors, Infrared Detectors, and Mixers; and High-Tc Electronics7—and the panel believes that outstanding work is being done in all of these areas. The strength of the Electromagnetic Technology Division largely stems from three factors: (1) an extremely talented staff, which includes scientists recognized worldwide for leadership and innovation in various aspects of electronic devices, (2) a strong infrastructure for device fabrication and testing, and (3) effective division management. Combined, these three assets have driven a highly successful and dynamic program. The panel is particularly impressed by the balance within the division between, on the one hand, providing effort and resources for activities that support the NIST measurement and standards mission and that meet the immediate needs of the division’s broad customer base and, on the other hand, promoting exploratory research that has a significant impact on fundamental science and positions the division to develop new standards. The resulting diverse technical environment has enabled the division to develop several new electronic standards and commercially viable measurement technologies, obtain substantial external funding, and attract excellent scientists to NIST at the senior and postdoctoral levels. Uniquely, the Electromagnetic Technology Division was the source of several new groups within EEEL: The Microwave Metrology Group was spun off in about 1990, the Optoelectronics Division in 1994, and the Magnetic Technology Division this past year. This history demonstrates the significant and lasting impact of the basic science and technology studies of this program. Below, the panel outlines some recent accomplishments of the division’s current projects.

The Josephson Array Technology project focuses on accurate standards for DC and AC voltages. It continues to support the conventional Josephson 10-V standard, with improvements being planned to implement a more portable standard with a self-contained cryocooler. A stable DC standard has been developed with a programmable, bipolar voltage reference in the +1.1 to –1.1 V range; this voltage standard is being used in the Swiss and French national standards laboratories for collaborative metrology studies. The new thrust of the project is the development of quantum mechanically accurate voltage sources for both AC and DC metrology. This work is based on using the quantized voltage pulses of Josephson junctions to digitally synthesize arbitrary waveforms, and waveforms up to 127 mV peak-to-peak have been demonstrated from DC to 100 MHz. New coupling schemes and methods for fabricating much smaller junctions are being pursued to meet the challenge of producing a full 1-V source. Although the technical challenges are great, the progress is good and the potential payoff is considerable. This work on the voltage waveform generators with quantum mechanical accuracy has also been critical in paving the way for the division’s key role in the NIST competence project on developing an electronically based Johnson noise thermometer.

The Nanoscale Cryoelectronics project has activities based on both single-electron devices and on microcalorimeters. The single-electron devices work is developing new quantum standards and measurement devices based on the manipulation and detection of individual electrons. The preeminent result of this effort is the capacitance standard based on using a single-electron pump device to count

7  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Electromagnetic Technology Division: Programs, Activities, and Accomplishments, NISTIR 6601, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

electrons delivered to a capacitive structure. This capacitance standard has been shown to be accurate to 1 part in 107 and has the additional benefits of being portable and independent of frequency. Plans are under way to compare this capacitor directly with the calculable capacitor maintained by the Electricity Division at NIST Gaithersburg. Next, staff are considering building a superconducting version of the single-electron pump device based on the same underlying nanofabrication technology as the capacitance standard. Such a superconducting-based device would pump electrons much faster than the current pump, enabling the construction of a quantized current standard at a higher current. The goal would be to produce a current high enough to allow staff to perform comparisons around the quantum mechanical metrology triangle, which links the electrical units of voltage, current, and resistance. This work involves the collaboration of personnel across EEEL, particularly in the Electricity, Electromagnetic Technology, and Optoelectronics Divisions. Another effort within the Nanoscale Cryoelectronics project illustrates the productive convergence of expertise in single-electron devices and nanofabrication: the work on the single-photon turnstile, a novel device that can generate single photons on demand. The individual steps in the process and design for this device have been demonstrated, and the panel expects progress over the next year on demonstrating the viability of the complete turnstile. Successful construction of such a device is essential for the emerging areas of quantum communications and quantum computing.

The other piece of the Nanoscale Cryoelectronics project involves microcalorimeter detectors of radiation from the optical to the x-ray regimes. The strategy is to produce an entire measurement system by combining quantum-efficient superconducting detectors at low temperatures in a user-friendly cryogenic environment that is connected to room-temperature electronics for processing the data. This approach entails an ambitious engineering task. Nonetheless the division must demonstrate a product that meets customer needs to ensure that NIST results are successfully transferred to the government and industry users who will benefit from the new technologies. Impressive progress has been made on the use of x-ray microanalysis to identify contaminant particles on wafers for the semiconductor manufacturing industry. Having demonstrated the viability of this approach, NIST is now licensing the relevant patents to two U.S. companies in order to facilitate the worldwide commercialization of the technology. Division staff are also installing the x-ray microcalorimeter in the NIST Chemical Science and Technology Laboratory to allow personnel there to demonstrate its state-of-the-art capabilities for the microanalysis of thin film and particles. For this sort of materials analysis application, a key ingredient will be an increased count rate. To this end, work is continuing on developing new arrays of detectors and using superconducting quantum interference device (SQUID) multiplexer circuits to process the data from the arrays.

In addition to the above activities, the staff in the Nanoscale Cryoelectronics area continue to explore exciting new applications such as Johnson noise thermometers and quantum computing. However, the group is not hesitant to terminate efforts that do not progress well, such as the investigation into the use of microcalorimeters for mass spectrometry of large biomolecules. The panel applauds this willingness to reallocate the division’s resources.

The High-Performance Sensors, Infrared Detectors, and Mixers project has refocused its efforts in two areas, imaging and spectroscopy. The refocus gives the project a greater sense of coherence. In the imaging effort, small-scale arrays of fabricated bolometers are being used for the detection of concealed weapons detection at room temperature and for astrophysical applications at low temperatures (below 300 mK). The spectrometry effort is addressing issues of relevance to both the semiconductor industry and the astrophysical community. A major direction is submillimeter tomographic spectroscopy, in which rotational absorption spectra in molecular gases are used to monitor and control the plasmaetching processes used by the semiconductor industry. Project staff are also collaborating with NASA

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

on studying how hot-electron bolometers can be used for high-frequency radio astronomy. These devices outperform superconductor-insulator-superconductor (SIS) mixers and Schottky-diode mixers at frequencies above 1 THz. The current focus is on antenna and sensor design and on schemes for characterizing receiver performance. Within the High-Performance Sensors, Infrared Detectors, and Mixers project there is also exploratory work on nano-MIM (metal-insulator-metal) junction diodes. In the past year, staff have demonstrated that infrared radiation from these devices is produced by rectification. Now these devices are being coupled to antenna to convert solar energy into DC power, and the current technical focus is on improving junction fabrication techniques and modeling diode properties.

The High-Tc Electronics project continues to play an important role in the development of international standards by participating in efforts on standards for measuring surface resistance at microwave frequencies and for investigating the power-dependent nonlinearities of the high-temperature superconducting thin films that are to be used as filters in wireless base stations. Other work is aimed at developing high-temperature superconducting Josephson junctions with controllable characteristic voltages; eventually the goal will be to integrate these junctions into the voltage standards and other divisional projects that now rely on low-temperature superconducting junctions. Future plans for the High-Tc Electronics project include beginning a program in MEMS. This work would take advantage of the division’s current fabrication capabilities and expertise in low-temperature electronics and materials and expand investigations into an even wider range of novel devices and systems for metrological and measurement techniques. Finally, in addition to pursuing the research and standards activities described above, staff of the High-Tc Electronics project also manage the division’s Nanoscale Fabrication Facility (the clean room, which was significantly upgraded this past year). This facility contains an impressive array of deposition, etching, lithography, analytical, and processing tools and is geared toward accelerating the turnaround of designs from individual users.

Program Relevance and Effectiveness

The four projects in the Electromagnetic Technology Division all have well-defined goals directed to satisfying the needs of the standards and measurements community, the electronics industry, or the scientific community. Below, the panel discusses the specific customers served by each project.

The Josephson Array Technology project develops new voltage standard devices based on Josephson integrated circuits and metrology systems using Josephson arrays. The main customers are the U.S. electronics instrumentation industry, the national and international standards communities, and the U.S. military, which utilizes the unique superconductive circuits and high-performance systems developed by this group. Specific recent advances in voltage standards by this project include the programmable voltage standard, which promises to provide an absolutely stable voltage reference for standards use and for the execution of standards experiments and to be a much more convenient voltage standard system than the DC volt. In the short term, the impact of this work will first be seen in the Electricity Division, where the programmable voltage standard may soon replace the banks of electrochemical cells used for NIST’s voltage calibration services. The programmable voltage standard is also an important element of that division’s watt balance experiment, also known as the Electronic Kilogram project. The Josephson Array Technology project is also working on producing an AC voltage standard based on the Josephson effect. This device would provide the only known direct method for generating absolute voltages and could also be used as a precision waveform synthesizer. One application would be for certain microwave voltage standards, assuming that the device is able to operate at high enough frequencies. A useful result of the development work on this AC voltage standard was development of an advanced technology for fabricating small, nonhysteretic Josephson junctions. This technique will

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

benefit all standards customers by enabling higher voltage programmable standards and waveform synthesizers and may impact other electronics industries as well.

The Nanoscale Cryoelectronics project’s primary activity is the creation of unique devices and systems for metrology and instrumentation based on submicron devices and millikelvin temperatures, and its results in this area impact a wide variety of customers, including the international standards community, the semiconducting processing industry, the chemical standards community, and the astronomy community, including NASA. For example, the microcalorimeter arrays developed for x-ray and infrared spectroscopy for microanalysis are very attractive to the semiconductor industry and may become a mainline diagnostic tool for manufacturing. The arrays also can be used for imaging during space observations and hence have the potential to have a dramatic impact on the astronomy community. A new capacitance standard, based on the work on electron counting technologies, could possibly reduce the cost of calibrations for national and industrial standards laboratories worldwide while simultaneously providing much more accurate measurements. The new standard would also enable testing of the Metrology Triangle, a fundamental check on the self-consistency of the present methods of defining and realizing current, voltage, and resistance. The ability to perform such a check, and the results of the test, would be of keen interest and importance to the electrical metrology community around the world. Investigations on emerging standards include work on Johnson noise thermometry, which may provide a new electrical basis for the fundamental temperature scale relevant to process industries in which temperature control is essential. Finally, a new and exciting area is the exploration of how this group’s expertise in superconductivity-based devices can be applied to quantum computing. This new field is of intense interest to the scientific research community and especially the computer security community. Practical implications will probably not be felt until far in the future, but the final impact could be revolutionary.

The goal of the High-Performance Sensors, Infrared Detectors, and Mixers project is to develop sensors with improved accuracy, speed, sensitivity, and functionality in the millimeter-wave and near-infrared regime. The broad and diverse set of customers include standards laboratories working in the area of radiometry and thermometry, researchers specializing in infrared astronomy, Department of Defense contractors, and the law enforcement community. Some work in this area also has the potential for having an impact beyond these direct customers. For example, a major success has been work on submillimeter spectroscopy that can help characterize plasma-based semiconductor manufacturing tools; better diagnostics for these tools could eventually result in higher yield and greater cost effectiveness.

For the law enforcement community, staff in the High-Performance Sensors, Infrared Detectors, and Mixers project are developing a 100-GHz imaging system for detecting concealed weapons. This system has the potential to be much less expensive than current weapons detection systems and could be deployed more widely and less obtrusively. This effort represents a unique opportunity for EEEL to be involved in a program that, by its potential to save lives and reduce confrontations, affects the general public in a clear and simple way. Promoting understanding and appreciation of NIST’s role in this work may increase public support for NIST, a key step to getting additional government funding. Another area in which NIST results could contribute to efforts aimed at broad public benefit is the division’s work on development of nano-MIM rectifiers (“rectennas”), which could be used in the generation of solar power. This technology could increase the practicality of solar power generation while decreasing its cost and would be a timely contribution to national efforts to develop alternative fuel sources to decrease pollution and reduce dependence on fossil fuels.

The High-Tc Electronics project aims to aid and accelerate the development of new thin-film materials and devices for electronic applications, especially those utilizing high-temperature supercon-

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.5 Sources of Funding for the Electromagnetic Technology Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

4.4

4.6

3.2

3.1

Competence

0.5

0.5

0.3

0.3

ATP

0.8

0.4

0.2

0.4

OA/NFG/CRADA

2.1

2.7

2.1

2.7

Other Reimbursable

0.1

0.1

0.1

0.0

Total

7.9

8.3

5.9a

6.5

Full-time permanent staff (total)b

40

38

34

22a

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aThe decrease in funding between FY1999 and FY2000 and the decrease in personnel between January 2000 and January 2001 reflects a reorganization in which several projects from the Electromagnetic Technology Division were moved into the newly formed Magnetic Technology Division.

bThe number of full-time permanent staff is as of January of that fiscal year.

ductors. The main customer is the telecommunications industry, which is poised to start using filters made from high-temperature superconductor (HTS) materials in cellular base stations. These ultralowloss filters may have a big impact on microwave communications but are not currently well characterized. A primary goal is the establishment of an international surface resistance measurement standard, which will enable advances in the techniques for fabrication of HTS electronics and in quality control for the manufacturing processes. The group’s work on viable technology for constructing HTS Josephson junctions is also valuable. This long-standing effort is aimed at achieving arrays of junctions operating at liquid nitrogen temperatures; these arrays could be used to make voltage standards that are much less expensive and easier to use and therefore raise the overall accuracy of the voltage measurements performed at NIST and elsewhere. Finally, a new thrust is planned to develop microelectromechanical devices and systems; work in this rapidly growing field could have an impact in many areas, from solid-state devices to biological material testing.

Division Resources

Funding sources for the Electromagnetic Technology Division are shown in Table 2.5. As of January 2001, staffing for the Electromagnetic Technology Division included 22 full-time permanent positions, of which 20 were for technical professionals. There were also 3 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

The Electromagnetic Technology Division underwent a major reorganization in 2000. The magnetics projects, which had formed a third of the division, were split off into a new, separate unit within EEEL, the Magnetic Technology Division. This move has reduced the size of the Electromagnetic

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Technology Division budget and staff but sharpened the overall focus of the division’s programs. While the suddenness of the transition seemed to have initially caused some concerns about job security in the Electromagnetic Technology Division, the staff now appear to have adjusted well to the new situation. For the most part, the electronics and magnetics projects in the original division did not greatly overlap, so it appears that the separation has not substantially severed or weakened any key collaborations or removed technical support for current projects. The only issue still to be resolved is the mechanism by which the division will pay back equipment expenditures. These costs are significant as the division relies heavily on state-of-the-art microfabrication equipment, which must be maintained and periodically upgraded. Given the reduced size of the Electromagnetic Technology Division, management may have to consider if additional charges should be assessed to other NIST groups that utilize the division’s unique equipment.

As mentioned above, the Electromagnetic Technology Division programs rely heavily on access to state-of-the-art microfabrication facilities in order to produce a variety of devices essential to the division’s work, including submicron single-electron tunneling devices, Josephson junctions arrays, DC SQUID, and MEMS devices. Last year, a major renovation and expansion of the clean room facility at NIST Boulder was completed, and the clean room is now in routine operation, with the primary users being staff from the Electromagnetic Technology Division and the Magnetic Technology Division. The panel applauds this new facility, which provides critical equipment for EEEL projects. In addition to its investment in standard commercial clean room instrumentation, the division has invested considerable time and effort on the construction of several state-of-the-art etching systems for specific projects.

Substantial remodeling has been carried out on several large laboratories in the division’s building in Boulder. However, the age of this building continues to interfere with effective climate control. For example, in the summertime, the temperature in some of the precision measurement laboratories can vary greatly over the course of just one day. Since environmental inconsistencies can seriously impede the staff’s ability to perform highly accurate measurements, it would be desirable for NIST to construct a new building for the division’s laboratories in the not-too-distant future.

Perhaps the most serious problem facing the Electromagnetic Technology Division, and indeed the entire EEEL, is the limits on progress imposed by constant or even shrinking numbers of staff. This stagnation is due to resource constraints rather than to problems with staff retention; current staff are actively involved in their work and pleased with the NIST environment. However, there are multiple demands on the time and resources available in the division. One is the tremendous pressure from the division’s standards and industrial customers to implement and disseminate new measurement schemes. Past research at NIST on several promising new ideas for quantum-based standards is now reaching fruition, and the next step to practical implementation of the standards will require more attention and effort. Meanwhile, more new initiatives are being nucleated in quantum computation and on the single-photon turnstile; these activities may eventually have a big impact on the future agenda of the division. The division is already understaffed vis-à-vis its current commitments, yet it continues to identify areas in which it would be beneficial to expand present projects or begin new activities. Should it become possible for the division to consider new hires, care must be taken to ensure that the division can identify and attract personnel who can contribute to the division’s programs, which bridge fundamental and applied research in a special and uncommon way.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Optoelectronics Division

Technical Merit

According to division documentation, the mission of the Optoelectronics Division is to provide the optoelectronics industry and its suppliers and customers with comprehensive and technically advanced measurement capabilities, standards, and traceability to those standards.8

This mission is well stated and succinct and fits logically and completely within the overall NIST and EEEL missions. In the past year, the division held an off-site meeting to conduct a strategic analysis of its program portfolio, and the panel applauds that effort. It is valuable for management to continually revisit the question of balance within the division to ensure that ongoing activities appropriately mix projects having short-term payoffs with projects that require long-term investment, projects in areas of established NIST expertise with those that build new competencies, and projects that respond to current industry needs with those that seek to show industry a new way of doing things. The need to continually reevaluate the best ways to meet the overarching goals of the division is especially critical in light of the personnel shortage, which is due to high industry demand for people with optoelectronics expertise. The division’s commitment to increasing its focus on key activities is demonstrated by the fact that four projects concluded in the past year, while only one new project was begun. That reduced the number of projects per scientist, as suggested by the panel in last year’s report.

This past year, the Optoelectronics Division was reorganized into three groups, Sources and Detectors, Optical Fibers and Components, and Optoelectronic Manufacturing, each of which is responsible for three projects. In reviewing the projects under way, the panel found that all had specific goals and objectives and observed that cross-project teamwork appeared to be occurring when appropriate. The division’s work is balanced and delivers calibrations and standards to industry while initiating new activities in emerging technology areas.

In the Sources and Detectors Group, the division continues to improve and expand the high-quality calibration services provided by NIST. There are a number of areas in which NIST’s services are unique, including low-level, pulsed-laser radiometry (with a 10–8 W peak and 10–15 J pulse), detector frequency response up to 60 GHz, high-power continuous wave measurements (500 W at 1.06 nm), and fiber-optic power meter calibrations in which the accuracy was recently improved by a factor of 2.

In the group’s Pulsed-Laser Radiometry project, staff made excellent progress in extending the calibration services provided by NIST for the excimer lasers used in high-resolution lithography. Currently available are primary standards at 248 nm and 193 nm, and the next goal is one at 157 nm; NIST is the only laboratory working on these deep ultraviolet (UV) standards. For all of these standards, staff follow a well-defined plan for adding services: First develop power measurements, later establish birefringence, and finally provide beam quality measurements. The project’s current work on the UV beam homogenizer for the 193-nm measurement service is noteworthy in that it has achieved better than 1 percent uniformity over a significant spatial aperture, while most commercial photolithography equipment can only achieve 3 percent uniformity.

8  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Optoelectronics Division: Programs, Activities, and Accomplishments, NISTIR 6602, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Last year, in the area of High-Speed Measurements, the panel supported the division’s work on the nose-to-nose oscilloscope method of calibrating oscilloscopes. This year, the panel applauds the group’s decision to cease work on this method. While last year the method appeared to be the preferred approach, results from work on a time-domain electro-optic sampling technique indicated that the latter approach would in fact be a better way to increase measurement bandwidth beyond 40 GHz, and the panel commends the division for its programmatic flexibility and its demonstrated ability to refocus efforts on the most promising technology. The electro-optic system, developed in collaboration with the Radio Frequency Technology Division, is already operating at 50 GHz with the potential to get to 110 GHz. Staff have also made good progress on fiber power measurements methods, achieving an absolute accuracy of 0.3 percent, which is invariant to beam shape over a considerable range. This remarkable precision is the best in the world.

The Optical Fiber and Components Group was formed from the merger of the Optics Components Group and the Fiber and Integrated Optics Group. The latter group lost a significant number of people to start-up companies in 2000, so some programs were consolidated and others were suspended entirely. Nevertheless, the quality of the current work in this group continues to be world-class, and the results produced are critical to the development of optical networks and hence to the strength of the information industry in the United States.

Since dispersion in optical components and fibers can limit the transmission data rate, dispersion measurement techniques and standards are critical to the commercialization of high-speed optical fiber systems. The Interferometry and Polarimetry project focuses on developing these measurements and standards. Recent accomplishments in this area include demonstration of the RF-modulated phase-delay method for measuring chromatic dispersion, development of an optical low-coherence interferometer (OLCI) technique, and use of this technique to measure dispersion in two types of wavelength multiplexers and a multiply cascaded fiber grating chain. The OLCI method is now being refined and upgraded to improve the wavelength accuracy and sensitivity and the ease of use. It will constitute an important tool for characterizing passive components needed for optical transmission systems.

Polarization mode dispersion (PMD) caused by differential group delay in two polarization states in optical fibers is the biggest stumbling block to the deployment of transmission systems that operate at data rates of 40 Gbps and beyond. Work in the Optical Fiber Metrology project has provided significant tools for industrial efforts to overcome this problem. Some examples of NIST’s contributions in this area are development of new measurement techniques, construction of SRMs that mimic fiber and components, and performance of special tests on customer-supplied artifacts. Recent accomplishments include the release of the very popular SRM 2518, a PMD-mode-coupled artifact, which sold out immediately, and a continuing effort to build an improved RF-Mach-Zehnder interferometer system for measuring PMD in narrow-band components.

In the Fiber and Discrete Components project, very productive work is ongoing on wavelength standards that provide traceability for calibrations of optical fiber test equipment. Over 100 SRMs for the C-band have been built and sold, a prototype of the carbon monoxide wavelength SRM for the L-band is complete, and an SRM for the measurement of polarization-dependent loss is in the final stage of development. The staff continue to pursue new ways to expand NIST capabilities in this area; examples include work on SRM wavelength standards in other wavelength regions (1300 nm and S-band), investigation of a hybrid fiber grating artifact reference that could provide multiple reference wavelengths, and exploration of whether relative group delay of molecular absorption lines could be used as a calibration artifact.

The Optoelectronic Manufacturing Group focuses on providing the measurement technologies, reference data, and test structures needed to support the manufacture of optoelectronic components. In

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

light of the group’s emphasis on directly impacting industry processes, the panel continues to encourage the division to coordinate the work in this group by consulting with key industry representatives to determine an appropriate scope and direction. Collaborations with groups both inside and outside NIST will be necessary to ensure that scarce resources are leveraged and the impact of work in this area is maximized. For example, if appropriate materials can be obtained from collaborators or vendors, this route should be pursued. The group should focus on problems that are not being addressed by commercial companies (such as work on in situ approaches to the metrology of epitaxial layers during growth) and on NIST’s traditional area of developing of standard reference data and materials.

The panel was pleased that the molecular beam epitaxy (MBE) III–V activity of the Optical Materials Metrology project had been reprogrammed to focus on the growth of InGaAs quantum dots (QDs) and that a goal of this work is preparing for future standards development for such nanostructures. The next step should be for staff to benchmark the quality and performance of NIST’s QD work against that under way elsewhere to ensure that the materials produced are at the state of the art and that the single-photon sources are optimized.9 To provide direction for the QD work and to determine the suitability of InGaAs dots for NIST’s purposes, the development of electronic models for single-photon turnstiles and photonic crystals must be carried out in a timely fashion. Also, the work on electrical contacts to individual QDs should be carried out in some way that will provide electrical injection into covered QDs (i.e., QDs with an appropriate passivation or cladding layer) since it is likely that surface states will limit the performance of bare QD electronic structures.

In the Semiconductor Growth and Devices project, the panel found a wide variety of worthwhile programs and offers some suggestions for additional or redirected efforts. Ongoing work to refine measurement techniques for determining the alloy composition of compound semiconductor epitaxial layers and to lay the groundwork for eventual production of SRMs for these measurements is appropriate; particularly valuable would be accurate techniques for composition and uniformity measurements in the very-high-aluminum composition regime (e.g., x>0.95 for AlxGa1–xAs alloys). In addition, since the results from various commonly used techniques for measuring doping concentration show large discrepancies, industry would definitely benefit from a NIST study of these measurement techniques and an investigation of whether relevant standards can be produced for doping concentrations in compound semiconductors (particularly alloys with high aluminum content—e.g., AlxGa1–xAs with x>0.70). In related work, the division has continued the effort on native oxide metrology, focusing on AlGaAs layers. However, it will be difficult to form useful standards in the native oxide area, in part because the oxide properties of the layers are likely to depend on proprietary processes used in industry. Therefore, since the oxide metrology work is less likely to have significant and widespread impact, the panel recommends reassigning the resources employed for this effort to the effort on alloy and doping concentration standards.

In related work, the division is investigating the accuracy of InGaAsP composition analysis measurements. The panel considered this to be a useful effort and was particularly impressed that the staff have active collaborations in place with several major U.S. suppliers of this important quaternary material. As always, NIST must be careful to focus on performing analyses at accuracies beyond what is possible with standard commercially available equipment. The goal should be both to improve the performance and accuracy of the common techniques (e.g., photoluminescence, photoreflectance, and

9  

The panel is not recommending formal “benchmarking” activities but rather that staff make an effort to carefully compare NIST results with those previously published in the literature or to obtain samples of similar QD structures from leading groups in the field.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

x-ray diffraction mapping) and to help with the development of newer techniques (e.g., microfocus x-ray and micro-Raman).

In compound semiconductor research and manufacturing, the inability to understand and control contamination levels in source materials is a significant problem, in response to which NIST has been investigating techniques for measuring the purity of gases used in the epitaxial growth of semiconductor layers. The primary tool for this effort is cavity ring-down spectroscopy, and the project is being carried out in collaboration with staff from the NIST Chemical Science and Technology Laboratory. Currently, the focus is on the analysis of water in the hydride precursors (e.g., arsine, phosphine, and ammonia) used in vapor-phase epitaxy and in metal-organic chemical vapor deposition growth of III-V materials. The panel encourages NIST to work with the vendors of these specialty gases to establish commercially viable advanced measurement techniques and also to expand this work to include materials such as tetrabutylarsine (TEA) and tetrabutylphosphine (TBP). This project is dealing with a critical issue for the semiconductor manufacturing industry, and the panel believes that it should be a priority in the coming year. To maximize the impact of this work, timely results are needed, and the panel would like to see a preliminary measurement technique established, experimental data taken, and sensitivity analysis complete by next spring.

Program Relevance and Effectiveness

The overall relevance of the Optoelectronics Division projects is very high. The significant impact that past work of the division has had on the U.S. optoelectronics industry demonstrates the effectiveness of NIST’s programs in this area and indicates that current activities have the potential to make large contributions in support of this rapidly growing industry. To ensure that companies and the relevant research communities are aware of NIST results, the division disseminates information about past and current programs as well as about services and standards provided by NIST. SRMs developed and produced in past years continue to sell well, and the two new SRMs put into place this year bring the division’s total number of SRMs on the market to 14. The staff also established five new calibration services in 2000, and the customer base for divisional calibration services grew about 10 percent for this year.

To communicate information about NIST capabilities to relevant research communities, division staff publish reports (26 last year), participate in international standards intercomparisons (4 last year), present at conferences, and directly collaborate with a number of organizations. The division sponsors the biennial Symposium on Optical Fiber Measurements, which industry relies on to showcase the latest results in this field. The NIST work is clearly well represented at this event and others, but the panel encourages staff to be even more proactive in presenting papers to the optoelectronics community. The division should be aggressively pursuing opportunities to give invited and tutorial presentations at major optoelectronics conferences such as the Optical Society of America/IEEE Optical Fiber Communication Conference and the Conference on Lasers and Electro-Optics and at the various professional society annual meetings. The division collaborates with groups inside and outside NIST and currently has four CRADAs in place that formally govern some of their industry interactions. Transfer of NIST expertise in measurements and standards techniques also occurs through the movement of personnel, including guest researchers, postdoctoral associates, and even permanent staff. While the division was seriously affected by the departure of five staff members with experience in polarization measurements, it is testimony to how skills and knowledge developed at NIST are valuable to private industry.

The relevance of the work in the Sources and Detectors Group is evident from the steady growth in demand for their SRMs and calibration services. As noted above, the number of customers for the services grew about 10 percent this year; the panel is concerned that the number of personnel and

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

resources devoted to these tasks is not keeping pace. The importance of these types of services was quantified by a 2000 retrospective economic impact study on the laser and fiber-optic power and energy calibrations, which found that the benefit-to-cost ratio for these activities was 13:1.10 This study reflects the value of the programs on 248-nm services and on high-speed measurements, but the division is currently pushing on to deeper ultraviolet regions with work on 157-nm beam homogenization. The effort is noteworthy because the outcome will affect the future of photolithography in particular and silicon technology in general. In addition, the work could have applications beyond simple measurement tasks in that industry might be able to use this technique to produce a more homogenous beam, which would improve overall photolithography resolution. The telecommunications industry is another community that could reap benefits from this group’s activities. However, the impact might be greater if NIST were able to push its fiber power measurements beyond the current level of 200 mW, as amplifier pump power levels are already at 300 mW and will eventually be pushed up to 1 W and beyond.

The new Optical Fiber and Components Group is performing important work on tools and techniques that support the expanding fiber-optics industry. Examples include the effort on physical fiber parameters, such as fiber mode-field diameter and multimode fiber differential mode delay, and work on polarization mode dispersion and wavelength reference standards. The latter activity is critical particularly in light of industry’s plans to deploy systems that employ a large number (>100) of multiplexed wavelength channels, each of which operates at an increasingly higher bit rate (>10 Gbps). These large, fast systems are necessary for the telecommunications industry to meet the huge demand engendered by the explosive growth of the Internet and data services.

The Optoelectronic Manufacturing Group develops monitoring and measurement techniques and SRMs in support of industry efforts to have low-cost, reliable, manufacturing processes. The panel notes that the group has made progress on increasing interactions with customers and stakeholders through direct contact with users, participation in technical conferences and OIDA workshops, and publication of results.

Division Resources

Funding sources for the Optoelectronics Division are shown in Table 2.6. As of January 2001, staffing for the Optoelectronics Division included 35 full-time permanent positions, of which 32 were for technical professionals. There were also 5 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

The Optoelectronics Division is seriously affected by resource limitations, especially in human resources. The optoelectronics sector of private industry is rapidly expanding, and the division is having increasing difficulty attracting and retaining the high-quality staff needed to carry out the precise and innovative technical work under way at NIST. The personnel shortage is being felt in several places. Most noticeable was the loss of five people from the Optical Fiber Metrology project. The sudden drop in resources caused the work on the modal dispersion of multimode fibers to be put on hold. While the panel supports this decision given the circumstances, it notes that past work in this area had a critical impact on the gigabit Ethernet area, where standards are being set for the systems and technologies that

10  

Michael L.Marx, John T.Scott, and Stephen M.Fry, Economic Impact Assessment: NIST-EEEL Laser and Fiberoptic Power and Energy Calibration Services, Planning Report #00–3, National Institute of Standards and Technology, Gaithersburg, Md., August 2000.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.6 Sources of Funding for the Optoelectronics Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

5.6

5.6

5.5

6.0

Competence

0.0

0.0

0.2

0.2

ATP

0.2

0.6

0.6

0.5

Measurement Services (SRM production)

0.1

0.1

0.2

0.2

OA/NFG/CRADA

1.2

1.1

1.6

2.4

Other Reimbursable

0.3

0.3

0.3

0.2

Total

7.4

7.7

8.5

9.5

Full-time permanent staff (total)a

36

37

37

35

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aThe number of full-time permanent staff is as of January of that fiscal year.

will provide cost-effective ways to deliver high-speed data traffic in optical networks. Another area in which NIST’s ability to impact industry is being impeded by lack of staff is the transfer of measurement technology to the optical disc industry. A first step was taken last year, but no further steps followed. These examples come on top of other cases from the past several years in which relevant work was suspended or terminated simply as a result of resource limitations. Those delayed projects include the work on planar optical waveguides and on optical amplifier measurements of noise figure and relative intensity noise. The panel observes that the resource limitations and the loss of personnel to private companies in the last year have adversely affected staff morale. Given that NIST is limited in the financial packages it can offer staff, the panel encourages management to investigate new and creative reward mechanisms for high-performing personnel. In the past, the panel expressed concern about the division’s heavy reliance on nonpermanent staff for key contributions to important projects. However, in this highly competitive market for relevant personnel, the panel acknowledges that the use of guest and contract workers and postdoctoral researchers to supplement permanent staff is a good way to continue operations, maintain a portfolio of important programs, and even recruit new talent.

Another issue related to resource limitations is the quality of the equipment and facilities available to division staff. While the equipment in some areas has improved in the past few years, there are still many areas in which it is of a much poorer quality than the equipment available to industry researchers. Funding for capital equipment expenditures is still well below what is typically spent to equip industry laboratories, and NIST management needs to make a strong push to increase this funding. Further efforts also need to be made on improving the utilization of laboratory space. The panel was disappointed to learn that the very appropriate plan to consolidate Optoelectronics Division staff in one building by taking advantage of the space vacated by the National Oceanic and Atmospheric Administration (NOAA) was delayed owing to budget constraints.

As society advances into the information age, optoelectronics devices and systems, such as those deployed in lightwave communications, image processing, displays, and information storage, will play an increasingly critical role. The worldwide optical networking systems market is projected to grow

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

from $10 billion in fiscal year 2000 to roughly $50 billion in fiscal year 2004, while the optical components market is projected to grow from $5 billion in fiscal year 2000 to roughly $24 billion in fiscal year 2004 (both of these increases would amount to annual growth of ~50 percent). These projections appear conservative when compared to the fiscal year 2000 growth rate of over 130 percent. In light of this trend, the panel continued to be concerned that the measurements and standards needs of this rapidly expanding industry, an industry that is continually launching new technologies, cannot be met by an Optoelectronics Division with relatively flat budgets and a decreasing number of staff. Without adequate funding, some of the existing projects that are critical will have to be redirected or refocused to support those programs that are deemed still more critical.

To illustrate the consequences of the tight budget for optoelectronics work at NIST, it is instructive to consider which areas the division is being forced to neglect despite the needs of industry. Note that the panel is not suggesting areas that are more critical than the areas where activities are ongoing but rather areas that could have significant industrial impact if the division had the people and money to expand its efforts. NIST might call upon an outside group such as OIDA or the Telecommunications Industries Association (TIA) to make such a list of programmatic areas that are of interest to their industrial members. Here, the panel offers one version of such a list: (1) optical MEMS metrology; (2) planar optics; (3) optical data storage; (4) the semiconductor lighting initiative; and (5) bio-optics. In particular, the panel stresses that optical MEMS have gained tremendous importance in industry recently, and NIST should certainly consider how the Optoelectronics Division could contribute to new metrology developments in this area.

While the panel is cautiously optimistic about the budget increase scheduled for fiscal year 2001, it has two major concerns about the overall funding picture for the division. The first is that a significant percentage of the increase is due to a rise in the amount of money from external sources. This type of funding can be unstable and is not indicative of an increased commitment by NIST and Congress to this area, which the panel believes is necessary. The second concern of the panel is the status of the Office of Optoelectronics Programs. Congress provided no funding for the office for fiscal year 2001 and whether the new administration will even request funding for it in fiscal year 2002 is still in doubt. The panel continues to urge positive action on this front, as the new office would provide improved coordination of NIST work in optoelectronics and could greatly enhance NIST’s ability to participate in international standards efforts. The panel suggests that, to demonstrate the importance of NIST’s past and current efforts in optoelectronics, an outside group such as OIDA or TIA might be commissioned to identify and publicize the tremendous contribution of the Optoelectronics Division to the success of the U.S. optical fiber telecommunications industry.

Magnetic Technology Division

Technical Merit

According to division documentation, the proposed mission of the Magnetic Technology Division is to develop and disseminate advanced measurement methods and standards for the magnetic data storage and superconductor power industries. Research is conducted in the areas of high-density and high-speed magnetic recording, magnetoresistive sensors and memory elements, magneto-optic and inductive magnetometry, scanned-probe microscopy using micro-electromechanical systems, electromechanical properties of superconductors, magnetic calibration standards, and superconductor standards and best practices.

While this proposed mission statement is certainly broad enough to encompass the wide range of

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

activities under way in this division, the panel believes that the statement needs to be revised to clarify the relationship between this mission and the missions of EEEL and NIST. Specifically, the panel would like to see a more explicit connection with the NIST-wide goal of improving the quality of life in the United States and strengthening the U.S. economy and the laboratory-wide emphasis on the quality and timeliness needed to maximize the impact of taxpayers’ dollars. However, the panel is certainly gratified to see explicit commitment to advanced measurement methods and standards in the division mission and suggests that this emphasis be retained in any revised mission statement. The panel also suggests that the Magnetic Technology Division coordinate with the Electromagnetic Technology Division to ensure that the different responsibilities for work on superconducting materials, which now occurs in both groups, be clearly delineated in the two mission statements.

The Magnetic Technology Division is a new division of EEEL, formed in the fall of 2000 from several projects that split off from the Electromagnetic Technology Division. The current projects are Magnetic Recording Measurements, Magnetodynamics, Nanoprobe Imaging, Magnetic Thin Films and Devices, Standards for Superconductor Characterization, and Superconductor Electromagnetic Measurements.11

The Magnetic Recording Measurements project focuses on metrology related to magnetic data storage systems. A particularly valuable result of the group’s work is the development of the nanoscale recording system (NRS). One application of the NRS, now at the prototype stage, is to use the imaging capabilities of the NRS in forensic analysis to recover data from audio- and videotapes and digital recording media. For practical implementation of this application, a high-speed version of the NRS will be needed. The NRS is also able to sense magnetic fields due to currents, which allows it to serve as a nondestructive method for failure analysis of VLSI chips; this application is of particular value for the semiconductor industry. NIST staff are providing instrumentation and consulting for customers interested in both applications, which are in the early stages. The NRS testing system has already demonstrated its value for the study of high-density data recording and storage, and several companies, including Seagate Technology, IBM, and Nonvolatile Electronics, Inc., have reproduced the system for use at industrial research locations.

The staff of the Magnetodynamics project continue to perform world-class work aimed at understanding the fundamentals of high-speed switching in magnetic materials and to break new ground in the study of metrology related to magnetic switching. In the past year, several efforts productively extended previous efforts of the group. Examples include the measurement of the switching speed of high-moment Fe-Co-N films in collaboration with researchers at Stanford University, the use of second-harmonic and conventional forms of the magneto-optic Kerr effect (SHMOKE and MOKE) to compare switching at the surface of permalloy with that in the bulk, the improvements in the signal-to-noise ratio in the MOKE/SHMOKE system, and the development of noninvasive inductive current probes for the measurement of fast rise-time current pulses in structures such as magnetic recording heads and suspensions. New efforts include work on spintronics, including a new concept for a spintronic magnetic recording head, a study of the magnon-phonon interaction, and a collaborative project on spin momentum transfer with Cornell University and Motorola Corporation.

The Nanoprobe Imaging project has made significant strides in the past year on defining a strategy for its work and on sharpening its vision of the role it should be playing in support of industry. The

11  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Magnetic Technology Division: Programs, Activities, and Accomplishments, NISTIR 6606, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

activities under way in this area are consistent with the Magnetic Technology Division’s mission to deliver new and useful measurement technologies to industry; work is particularly relevant to the data storage industry and may one day prove useful for investigations into quantum computing and various biological phenomena. Past efforts on superparmagnetic tips and electron-spin resonance probes are now being augmented by work on using ferromagnetic resonance to measure local DC and radio-frequency magnetic fields on a nanometer scale. In general, the effort to enable measurement of many fundamental magnetic parameters on a nanometer scale using a “magnetometer-on-a-chip” offers innovative solutions to key measurement problems faced by industry. With the chip approach, many of these measurements can be performed in situ, and users will then be able to capture what is happening during the process being studied and react quickly to any unexpected changes. This NIST effort relies heavily on the full in-house MEMS capabilities that the division gained when the clean room expansion was completed last year and is an excellent response to the panel’s suggestion that the division explore ways to improve capabilities for measuring the magnetic properties of thin films.

The Magnetic Thin Films and Devices project focuses on measurements and standards for magnetic thin film materials and devices. This past year, staff completed a study of switching probabilities in small spin-valve devices designed for magnetic random-access memory (MRAM) applications. This work is especially valuable to industry because addressing problems related to the switching dynamics and the presence of metastable states in these devices is a key step toward determining the commercial viability of MRAM devices. (In magnetic recording devices, this issue is less pressing, as switching speeds are currently limited by the properties of the write head.) The various efforts related to damping in magnetic materials are making excellent progress. Results from the micromagnetic simulations of rotations in spin-valve devices showed qualitative agreement with experimental measurements of damping, and staff developed a new method for engineering magnetic thin films so as to increase the damping of the films. Other noteworthy activities include the development of techniques for in situ magneto-conductance measurements, continuing investigations of materials that are candidates for use as magnetic imaging reference samples, work on metrology for characterizing current-perpendicular-to-plane devices, and fabrication of combinatorial libraries for the magnetic properties of alloys (phase-diagram-on-a-chip).

The Magnetic Technology Division’s work on superconducting materials consists of two principal efforts. In the Standards for Superconductor Characterization project, staff develop nonroutine techniques for measurement of critical currents of superconducting wires and participate in related standards committees. In the Superconductor Electromagnetic Measurements project, the focus is on testing the behavior of superconducting tapes and wires under special stress conditions.

The Standards for Superconductor Characterization project has ventured into new territory this past year by performing tests on conductors with unusual characteristics designed for use in high-energy physics experiments. First, staff measured critical currents for high-amperage Nb-Ti conductors made by U.S. vendors for use in the large detector magnets at the Large Hadron Collider at the European Organization for Nuclear Research (CERN). They also worked on marginally stable, high-amperage Nb3Sn conductors. In both cases, the testing needed on these conductors went beyond the straightforward extrapolation of industrially available short sample test capabilities. NIST personnel performed detailed, patient analyses and debugging of various parasitic voltage signals in the course of these tests and gained very valuable experience.

The Superconductor Electromagnetic Measurements project focuses on measuring critical currents of prototype high-temperature superconductor tapes under stress, and the techniques and facilities employed in this work are not available elsewhere in the United States. The goal is to assess performance of these materials under conditions that mimic what will occur when the tapes are used in magnets or experience winding or other occupational stresses. This information is intrinsically valuable

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

for the manufacturers and users of these tapes, but the NIST results are also contributing to a more basic understanding of the materials by demonstrating that there are unexpected effects due to the magnetic nature of the Ni substrates that are used and that using unalloyed as opposed to alloyed nonmagnetic substrates can also affect tape properties.

Program Relevance and Effectiveness

The programs under way in the Magnetic Technology Division are relevant to the needs of industry and of various government agencies. Division staff do a good job of interacting with their customers to determine industrial and governmental needs and, as described below, the division utilizes a variety of dissemination mechanisms to ensure that NIST results are communicated to the relevant communities. The division also solicits feedback on current activities through the interactions with NIST customers that occur when staff participate in programs organized by external groups such as the International Disk Drive Equipment and Materials Association (IDEMA), the NSIC, the Versailles project on Advanced Materials and Standards, and the IEC.

NIST’s work in measurements and standards related to superconducting materials continues to have a considerable impact on the U.S. superconductor industry and on customers in the Department of Energy’s fusion and high-energy physics programs. The value to DOE customers is demonstrated by the significant external funding DOE provided for the division’s work on testing the properties of superconductors under mechanical strain. For industry, NIST staff are very active in various working groups of IEC Technical Committee 90 (Superconductivity) and have made productive contributions that influence the technical standards issued by this committee. Last year, the Magnetic Technology Division decided to get more deeply involved with the International Council on Large Electric Systems (CIGRE). Outreach to this organization is particularly important because it will bring NIST staff into contact with a new community of potential customers in electric utility-related applications quite distinct from the DOE-related communities that traditionally use NIST’s services and benefit from its research on superconducting materials.

In past assessment reports, the panel highlighted the need for standards for the data storage industry, as well as for MRAM devices and sensor technologies. This year, the panel is gratified to note significant progress in the division’s efforts to develop and disseminate standards in this area and in NIST’s responsiveness to requests from industry and its ability to meet industry’s needs. For example, the division is testing two prototype standards, one of a planar solenoid designed to be used as a current-based flux standard and one of a zero coercivity standard to be used to calibrate magnetometers. Staff are also working with SHB Instruments, a leading maker of magnetometers for soft materials, on candidate materials for the zero coercivity standard. Finally, NIST continues to work closely with IDEMA on interlaboratory comparisons of magnetic samples to test newly written measurement standards; these round-robins include testing at industry laboratories. Overall, the panel applauds the strides that have been made but notes that flux standards for magnetic recording media are still needed.

In the magnetodynamics area, Magnetic Technology Division staff participate in the NSIC Extremely High Density Recording (EHDR) project, which has brought together the key players in magnetic data storage from both industry and academia so that their joint work on precompetitive technologies may advance the state of the art in magnetic recording. This project is an effective way to transfer the results of NIST research on the dynamics of high-speed switching to interested external parties, and the NIST work in this area may eventually impact companies involved in MRAM devices and communications, as well as the data storage industry.

The Magnetic Technology Division disseminates information about NIST programs and transfers

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

technology to industry in a variety of ways. Staff have published numerous high-quality technical articles in refereed journals and given lectures (11 in 2000) and courses all over the world. Some examples include a NATO Advanced Course lecture in superconductivity, a tutorial on critical current testing at the last Applied Superconductivity Conference (ASC), and an IEEE Guest Lecture on magnetodynamics. One NIST employee is writing a monograph for Oxford University Press on superconductor electromagnetic measurements; the monograph reflects an entire career’s worth of experience in low-temperature experimentation and will be a valuable resource in that field. Another is editor in chief of IEEE Transactions on Magnetics. NIST personnel regularly chair conference sessions, serve on conference committees, and act as conference chairs for a variety of meetings, including the Intermag Conference, the Annual Conference on Magnetism and Magnetic Materials, and the ASC. Division staff are also very active in a number of standards and scientific organizations, such as ASTM, IEEE, and IEC. In March of 2001, NIST hosted a workshop on NSIC’s continuing roadmapping effort in the area of magnetic tape recording.

Informal dissemination of NIST results occurs through the division’s multiple collaborations with external institutions, including universities (e.g., Cornell and the University of Wisconsin), government organizations (e.g., Fermilab and Los Alamos National Laboratory), and companies (e.g., Motorola and NVE, Inc.). A very effective mechanism for transferring expertise on measurement technologies is the NIST postdoctoral program, which trained many first-rate industrial and academic researchers and which continues to provide important experience for new scientists entering the magnetics and superconducting fields. For example, Seagate Technology hired a person who had been a postdoctoral associate on the Magnetodynamics project and immediately gained the expertise it needed on measurements of high-speed switching.

Division Resources

Funding sources for the Magnetic Technology Division are shown in Table 2.7. As of January 2001, staffing for the Magnetic Technology Division included 11 full-time permanent positions, of which 10 were for technical professionals. There were also 4 nonpermanent and supplemental personnel, such as postdoctoral research associates and temporary or part-time workers.

The staff and projects in the Magnetic Technology Division have clearly benefited from the reorganization in which the division became an independent unit. Staff morale has improved greatly since the reorganization, and the new structure will sharpen the focus on magnetic and superconducting technologies. Other factors that have contributed to the general improvements noted by the panel in the past year include the completion of the new clean room and good progress across the division on several milestones. The panel is very impressed by the positive morale and the enthusiasm of the staff; the upbeat environment bodes well for the future of this division.

The panel does believe that there are three significant challenges facing management as the new division goes forward. The first is the budget. During the transition to an independent unit, resources and support mechanisms will be in flux, and it is important for EEEL to resolve any uncertainties about distribution of internal (STRS) funding in a timely manner and support divisional efforts to secure external grants. A second, but related, challenge is the hiring and retention of full-time permanent staff. Recently, one person was transferred to another division within EEEL because the Magnetic Technology Division did not have the funding to maintain the position. The postdoctoral research associate program continues to attract excellent scientists to NIST, but the division appears to lack the opportunity to hire scientists as permanent staff at the end of their postdoctoral appointments. Finally, the division will face significant difficulties in its search for a permanent division chief. Currently, it is headed on an

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.7 Sources of Funding for the Magnetic Technology Division (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998a (actual)

Fiscal Year 1999a (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

NIST-STRS, excluding Competence

NA

NA

1.6

1.5

Competence

NA

NA

0.5

0.0

ATP

NA

NA

0.1

0.1

OA/NFG/CRADA

NA

NA

0.7

0.5

Other Reimbursable

NA

NA

0.1

0.0

Total

NA

NA

2.9

2.1

Full-time permanent staff (total)b

NA

NA

NA

11

NOTE: Sources of funding are as described in the note accompanying Table 2.1.

aData are not available for years prior to FY 2000 as the Magnetic Technology Division was formed in September 2000 in a reorganization in which several projects were moved from the Electromagnetic Technology Division to this new division.

bThe number of full-time permanent staff is as of January of that fiscal year.

interim basis by a division chief from the Materials Science and Engineering Laboratory, with significant support from a Magnetic Technology Division staff member. The panel applauds the work of these two men, who saw the division through its formation. However, a stated goal of division and laboratory management is to hire a permanent division chief from outside NIST. The panel appreciates this objective but warns that attracting the caliber of person needed to run the program will be very difficult at a time when demand for quality people with the relevant expertise is quite high. Two similar positions are currently open in academia (directors of the Micromagnetics and Information Technologies [MINT] centers at the University of Minnesota and the University of Alabama), one of which has been unsuccessfully advertised for a number of months now.

The panel obviously applauds the completion of the new clean room, which has enabled the staff to undertake new and exciting projects. However, the rest of the division’s facilities are antiquated, and most laboratories suffer from inadequate temperature and humidity control. The laboratories are also spread out among several different buildings, but at this time the staff appear to be in enough proximity to allow for reasonably effective collaboration. In general, most of the equipment in these laboratories has been homemade by NIST staff. In some cases, this approach was necessary because no commercial versions of the needed instruments were available, but in other cases (the thin film area is one), high-quality, appropriate equipment clearly could have been purchased (e.g., for vacuum deposition). Making sure that the division has adequate resources to purchase capital equipment would allow staff to devote their time and efforts to more important tasks. The new clean room is equipped mainly with store-bought instruments, which is appropriate, but even in this facility some of the instruments, such as the scanning electron microscope that was modified for lithography, are second class. The personnel in this division are outstanding, NIST’s greatest asset in magnetic superconductivity area, and they should have access to the world-class equipment and facilities that would enable them to productively and efficiently fulfill the division and NIST missions.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

Office of Microelectronics Programs

This year, the Office of Microelectronics Programs is being reviewed by a special panel and covered in a separate chapter, Chapter 9, of this report.

Office of Law Enforcement Standards

According to laboratory documentation, the mission of the Office of Law Enforcement Standards (OLES) is to serve as the principal agent for standards development for the criminal justice and public safety communities.

The panel was very pleased to observe that OLES has been established as a stable and viable organization. Its programs include some activities that are carried out in-house, some that are outsourced, and a wide array of projects across NIST that are matrix managed by the OLES staff. The current work is divided into five programmatic areas: Weapons and Protective Systems, Detection, Inspection and Enforcement Technologies, Chemical Systems and Materials, Forensic Sciences, and Public Safety Communications Standards.12 These areas are appropriate for OLES to be involved in, and the current projects not only are consistent with the OLES mission but also directly support the recently revised NIST mission to “strengthen the U.S. economy and improve the quality of life by working with industry to develop and apply technology, measurements and standards.”

OLES works very hard to maintain relationships with its customers, who are spread throughout a variety of communities such as law enforcement, corrections, forensic science, and the fire service. NIST staff attend many conferences, training programs, and informal sessions to learn about customer needs and build awareness of OLES activities and products (such as its technical reports and user guides). The staff have a variety of items with the OLES name and contact information that they can pass out at these events to educate the communities about using OLES as a resource for technical support and advice. In their relationships with their customers, OLES staff, like many other staff within EEEL, are working to communicate and uphold NIST’s reputation as an honest broker and a source of reliable, technically sound information.

OLES is entirely supported by outside agency funding. The primary source of funding is the National Institute of Justice (NIJ), and a small amount of additional money is provided by other agencies such as the National Highway Traffic Safety Administration. As of January 2001, the office had a paid staff of 9, 7 of whom were technical professionals.

Funding sources for the Office of Law Enforcement Standards are shown in Table 2.8.

The continued strength of OLES’s relationship with its sponsors, who are also its customers, is demonstrated by the increasing funding provided over the past 5 years. There is no indication that this trend will abate, as the panel sees clear opportunities for expansion, especially in the area of biochemical standards for forensic sciences. OLES has laid the groundwork with ongoing programs in this area, including research on DNA identification methods and standards and evaluation of the potential for using saliva as a drug-testing mechanism, but this is clearly a fast-growing field with rapidly changing technologies. OLES is poised to expand its work in this area to provide the technical perspective and information that the criminal justice community will need.

12  

U.S. Department of Commerce, Technology Administration, National Institute of Standards and Technology, Office of Law Enforcement Standards: Programs, Activities, and Accomplishments, NISTIR 6575, National Institute of Standards and Technology, Gaithersburg, Md., January 2001.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×

TABLE 2.8 Sources of Funding for the Office of Law Enforcement Standards (in millions of dollars), FY 1998 to FY 2001

Source of Funding

Fiscal Year 1998 (actual)

Fiscal Year 1999 (actual)

Fiscal Year 2000 (actual)

Fiscal Year 2001 (estimated)

National Institute of Justice

4.9

5.4

8.4

9.5

Other agencies

0.2

0.2

0.4

0.4

Total

5.1

5.6

8.8

9.9

Full-time permanent staff (total)a

7

9

9

9

aThe number of full-time permanent staff is as of January of that fiscal year.

OLES results and products have had and continue to have a significant impact on the criminal justice and public safety communities. The value of this impact to its primary sponsor, NIJ, is demonstrated by the fact that NIJ has included OLES in its strategic planning sessions and has placed OLES in its plan and budget for several years to come. This endorsement of and commitment to OLES has allowed the staff at NIST to shift their focus from receiving money and then planning programs that use it appropriately toward assessing customer needs, planning relevant programs, and then soliciting money to support the necessary activities. The panel applauds this approach.

OLES relies heavily on contracts both to receive money from its sponsors and to administer some programs external to NIST. A concern of the panel is that OLES appears to have been hampered in its ability to carry out its mission efficiently by delays in contract execution and personnel actions by the central NIST offices for these functions. Since all of OLES funding comes from outside, there is no alternative source of support to tide projects over, and delays can seriously interfere with project execution. In contrast, OLES has effective working relationships with other central NIST offices. For example, OLES staff are working with the Facilities Planning, Engineering, and Construction section of the NIST Plant Division on plans to relocate and improve the OLES Research Test Facility. This facility supports ballistics-related research (on topics such as gunlock effectiveness) and other projects and is central to OLES’s mission. The panel was pleased to note NIST support and assistance for a new facility and considers that the concerns expressed in last year’s report are being resolved.

MAJOR OBSERVATIONS

The panel presents the following major observations:

  • The Electronics and Electrical Engineering Laboratory continues to provide world-class leadership in metrology research and services. The staff are strongly focused on building high-quality programs that meet important industrial needs, and the laboratory is working to strengthen its processes for feedback from its customers to ensure that NIST activities continue to utilize customer input throughout their lifetimes and are effectively disseminated to and implemented by industry.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
  • The flat budgets of EEEL stand in stark contrast to the rapid technological progress occurring in the industries served by EEEL and the impact of these industries on the economic health of the nation. The consequence is a declining number of professional staff members and a growing number of mission-critical projects that are beyond EEEL’s resources to undertake.

  • The capital equipment budget of EEEL is woefully inadequate. If it does not see a significant increase in these funds, EEEL may need to work with industry through shared leasing arrangements and other innovative programs to provide staff with access to the equipment and instrumentation they need to carry out the laboratory’s mission.

  • Some improvements were seen at the Boulder facilities this past year, and the planned Advanced Measurement Laboratory at Gaithersburg will do much to satisfy facilities needs 4 years from now. However, there are still many issues at both sites, and ongoing investment in facilities maintenance and upgrades and new construction is necessary to give NIST staff the technical environment they need to perform measurement and standards research and services at the top levels.

  • The EEEL strategic planning processes are appropriately focused on developing a plan that contains a laboratory-level set of goals and objectives. This plan, along with the laboratory mission and values statements, is helping EEEL management set priorities and select programs within the current constraints on budget and human resources.

Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
This page in the original is blank.
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 9
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 10
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 11
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 12
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 13
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 14
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 15
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 16
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 17
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 18
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 19
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 20
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 21
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 22
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 23
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 24
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 25
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 26
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 27
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 28
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 29
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 30
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 31
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 32
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 33
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 34
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 35
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 36
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 37
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 38
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 39
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 40
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 41
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 42
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 43
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 44
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 45
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 46
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 47
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 48
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 49
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 50
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 51
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 52
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 53
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 54
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 55
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 56
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 57
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 58
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 59
Suggested Citation:"2. Electronics and Electrical Engineering Laboratory." National Research Council. 2001. An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001. Washington, DC: The National Academies Press. doi: 10.17226/10204.
×
Page 60
Next: 3. Manufacturing Engineering Laboratory »
An Assessment of the National Institute of Standards and Technology Measurement and Standards Laboratories: Fiscal Year 2001 Get This Book
×
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

This volume represents the 42nd annual assessment by the National Research Council (NRC) of the technical quality and relevance of the programs of the Measurement and Standards Laboratories of the National Institute of Standards and Technology (NIST). This report provides judgments regarding the overall state of the NIST Measurement and Standards Laboratories (MSL),and offers findings to further increase the merit and impact of NIST MSL programs. It also offers in-depth reviews of each of the seven laboratories of the MSL, with findings aimed at their specific programmatic areas.

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!