National Academies Press: OpenBook

Harnessing Light: Optical Science and Engineering for the 21st Century (1998)

Chapter: 5 Optics in Industrial Manufacturing

« Previous: 4 Optics in National Defense
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

5
Optics in Industrial Manufacturing

Modern manufacturing is being revolutionized by the use of optics, which can both improve current manufacturing capabilities and enable new ones. Light can be used to process or probe materials remotely, even through windows isolating harsh or vacuum environments. With no surface contact, there is no contamination of the process by the probe beam and no wear of tool edges. Scanning provides action over large areas. Light can be used to induce photochemistry, for example, in photolithography to produce submicron features in thin films of photoresist or in rapid prototyping where liquid polymers are solidified by lasers to form a three-dimensional piece from a computer-aided design database. Light can cast images, making it possible to inspect a part or use the image to guide the working tool to the correct area of the work-piece. Images of the surface topology can be compared to the topology of the ''perfect" image captured in a database or the topology of an identical piece to ensure consistent component fabrication. For these many reasons, optics has reached into every aspect of manufacturing and promises to increase in use with improvements in speed, control, precision, and accuracy.

Numerous optical techniques are used throughout industry and are critical to the manufacture of such diverse and basic products as semiconductor chips, roads and tunnels, and chemicals. Optical techniques, grouped by function, fall into two broad classes:

  1. Performing manufacturing: Light interacts directly with the finished or intermediate product to change its physical properties, as in the case of photolithography or materials processing.

  2. Controlling manufacturing: Optics is used to provide information about a manufacturing process, as in the chemical industry's use of optical sensors for in-line process control, or to inspect a manufactured

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

product, as in the semiconductor industry's use of optical inspection tools to characterize particulate contamination.

Some applications may be relatively familiar, such as the use of high-power lasers for cutting, drilling, or welding steel. Others are less familiar, such as the use of optical sensors to monitor chemical processes in real-time or the use of lasers for alignment and control in the construction industry. Some of the challenges that these applications face are unique to a particular industry, but others, such as the need for trained optics technicians or the importance of making equipment robust and reliable, are universal.

Table 5.1 shows the most important uses of these optical manufacturing techniques for five major U.S. industries—automotive, semiconductor, chemical, aerospace, and construction. These industries in aggregate account for approximately $1 trillion, or 17% of the 1992 U.S. gross domestic product (GDP). Each has a critical dependence on one or more optical manufacturing techniques.

Because of the diversity of U.S. industry, this chapter cannot address the use of optics in every single branch of manufacturing. It endeavors instead to cover a representative sample, including those applications

TABLE 5.1 Major Uses of Optics in Industrial Manufacturing

 

Automobiles

Semi-conductors

Chemicals

Aircraft and Aerospace

Construction

Value of shipments (billions of 1992 dollars)a

152.9

32.2

305.4

131.9

391.2

Photolithography

Critical

Laser materials processing

Critical

Major

Major

Significant

Rapid three-dimensional prototyping

Emerging

Emerging

Metrology (location, position, dimension, and alignment)

Major

Critical

Critical

Critical

Machine vision (features, orientation, and defects)

Emerging

Significant

Optical sensors (composition, temperature, pH, etc.)

Significant

Critical

Major

NOTE: Critical means that a technique is used pervasively and cannot be replaced by alternative nonoptical techniques without major negative economic impact to the entire industry. Major means that a technique is used pervasively and adds significant economic value to the entire industry. Significant means that a technique is used for specialized niche applications within an industry and adds significant economic value to those niche sectors. Emerging means that a technique is being put to increasing use in an industry and has the potential to be of at least significant importance.

a All shipment values are from the 116th Edition of the Statistical Abstract of the United States, 1996.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

that represent large markets for optical systems and devices. An illustrative selection of other applications with significant potential for growth is also given.

This chapter is organized in five sections. Two explore the use of light to perform manufacturing and the use of optics to control manufacturing, respectively. Industry-by-industry examples follow to highlight the interplay between the various applications of optics to perform manufacturing in each industry. Prospects for increasing the use of optics in manufacturing are discussed in the next section. Findings, conclusions, and recommendations are gathered in the last section.

Use of Light to Perform Manufacturing

Because of the many unique properties of light and the manner in which light interacts with matter, optics offers a rich variety of application options for manufacturing processes. The imaging properties of light and its ability to induce photochemical reactions allow highly complex mask patterns to be transferred to photoresist in the optical lithography process. Tightly focused laser beams can deliver thermal energy to the workpiece for cutting, welding, or drilling with a precision and accuracy unmatched by any other technique; they can also induce localized photochemical reactions to generate solid three-dimensional prototype parts. Additional advantages are the ability to deliver this energy at a distance in a noncontact manner through windows and in various atmospheres. Some of light's diverse range of utility is illustrated in the following applications.

Photolithography

Photolithography plays an essential enabling role in integrated circuit processing. Photolithography requires both an optical system—the step-and-repeat camera (stepper) that is the workhorse of the integrated circuit (IC) industry—and an optical material—the light-sensitive photoresist used to transfer the desired pattern to the silicon substrate or thin film of interest (Figure 5.1). As the demand for faster processing speeds continues, increasing pressure will be put on photolithographic processes to produce smaller feature dimensions, requiring new photolithographic tools, new materials, shorter wavelength light sources, and other more advanced optical system designs.

At present, photolithography requires the use of three elements:

  1. The mask, which defines which areas of the film to be patterned will be exposed to light;

  2. The exposure tool, which images the pattern from a mask onto the substrate; and

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.1 The photolithography process: (a) coat, (b) expose, (c) develop. Advances in the resolution and depth of focus of photolithography systems drive increases in the speed and performance of computers and computer-controlled systems.

  1. The photoresist, which changes solubility when exposed to light and transfers the pattern on the mask to the film or layer below the photoresist.

Effective combination of these three elements, with appropriate integrated circuit design, has resulted in tremendous decreases in the minimum size of features and increases in the number of elements on a chip, allowing for increased speed and number of computational operations.

In the early 1980s, state-of-the-art IC devices contained as many as 8,000 transistor elements and had minimum feature dimensions of 5-6 µm. Today, devices with several million transistor cells are commercially available and are fabricated with minimum features of 0.5 μm or smaller. Indeed, the decrease follows an almost perfectly exponential trend known as Moore's law. The steady decrease in integrated circuit linewidths or feature size has largely been fueled by improvements in the resolution of optical lithography. This improved resolution, in turn, has been enabled by the use of shorter and shorter wavelengths for the exposure tools. Deep ultraviolet (UV) lithography using 248-nm wavelength light is just coming into production use for chips with minimum dimensions as small as 0.25 µm. A lithography roadmap prepared by SEMATECH (1997) projects the minimum feature sizes desired in the future and the technologies that must be developed to achieve them.

Exposure Tools

The workhorse of photolithography is the step-and-repeat camera. The optical imaging system of this device is the most demanding application of commercial lens design and fabrication today and can cost in excess of $1 million. Four competing demands on lens performance are (1) increasing resolution, (2) increasing depth of focus, (3) increasing field size, and (4) decreasing aberrations.

Maximum resolution and depth of field are determined primarily by the wavelength of the imaging light and the numerical aperture of the projection lens, with changes that increase resolution and result in decreasing depth of field. The trade-off of resolution with depth of field

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

has driven many process changes, for example, the development of planar metallization.

Industry anticipates a transition to an exposure wavelength of 193 nm by a change in the excimer laser light source from KrF to ArF. Generations of exposure tools have relied on high-quality fused-silica refractive lenses. Due to issues of compaction and color center formation with fused silica, which are not adequately understood, the 193 nm exposure tools will likely use, for the first time, some reflective elements as well as CaF refractive elements. The lack of materials that are adequately transparent at 157 nm or 126 nm is a barrier to further reduction in wavelength, necessitating all-reflective exposure tools for use at these wavelengths. All reflective optical systems with high numerical aperture (0.6) are prohibitively difficult to fabricate because of the large number of aspherical reflectors required and the stringent specifications for these reflectors. This situation speaks to the issue of the manufacture of optics covered in Chapter 6.

Step-and-scan systems offer another alternative to the step-and-repeat equipment common today. Because of the difficulty of making bigger lenses, an alternate approach is to combine modest-sized lenses with scanning systems to increase the field size. By synchronously scanning the mask and the wafer through an illuminated area corresponding to the corrected field of the lens, it is possible to achieve patterning over large areas. The synchronization between the mask and the wafer stages must be kept well under 100 nm, which is not easy. However, for 256-megabit DRAM chips and beyond, step-and-scan technology will likely prove more cost-effective than step-and-repeat because of the smaller optical system employed.

Photo Masks

In the past 10 years the transition from 1x to 4x and 5x optical systems has provided a technology respite to the mask-making industry, but the recent emphasis on optical proximity correction combined with the relentless trend toward smaller geometries and more complex structures has accelerated mask making requirements. The mask-making industry generates insufficient revenue to cover the cost of developing new generations of mask-making tools. Given the current direction, mask making will almost certainly be a major impediment in only a few years, although there are some initiatives under way aimed at alleviating this.

Mask alignment is also a critical issue. Subsequent masks must be precisely aligned with patterns on the silicon wafer with a precision far beyond that of the minimum feature dimensions. New metrology will be required for next-generation systems.

One interesting possibility is the conversion to maskless systems that have a large micromirror array or similar device in the lens focal

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

plane. In this case the mask pattern is simply a data file stored on an array of hard disks or other high-speed data storage device, which feeds pattern data to the mirror array. The flexibility of an electronic mask would be unprecedented and could correct for small imperfections in the imaging system.

Photoresist

The pattern on the photomask is transferred to the silicon wafer by means of a light-sensitive polymer that is spun uniformly onto the wafer surface. Exposure to UV light changes the solubility of the polymer such that the exposed (positive photoresist) or unexposed (negative photoresist) regions can be removed in a solvent after exposure. Optimum materials exhibit high photosensitivity and uniform absorption of the UV light for uniform solubility and contrast.

The key to developing an effective photoresist is to develop a material with excellent etching resistance combined with good imaging characteristics. This combination presents a significant challenge and is the focus of several research efforts today.

Present conventional photoresists are not appropriate for use with the nonconventional lithographic technologies that will be necessary for sub-0.5 μm lithography. The most notable deficiencies of the conventional novalac-quinonediazide resist are the exposure sensitivity and absorption properties of the materials. New photolithographic tools in general have low-brightness sources, and high-sensitivity resists are highly desirable. Additionally, the absorption of conventional photoresists is too high to allow uniform imaging through practical resist film thicknesses, usually on the order of 1 µm. For 248-nm lithography, these challenges were accommodated by application of chemically amplified resist technology, which greatly enhances photosensitivity. However, hydroxystyrene polymers, which form the basis for this technology, are effectively opaque at 193 nm. Thus, new polymer materials are required for 193-nm single-layer resists that possess high optical transparency at the exposure wavelength, combined with good etching resistance and functionality that will effect a change in solubility of the exposed regions.

No matter what technology becomes dominant when today's photolithography capabilities have reached their limits, new optical materials and processes will be required, necessitating enormous investments in research and process development. The introduction of new resist materials and processes will also require a considerable lead time to bring them to the performance level currently realized by conventional materials, as has been the case with new photolithography techniques. For example, the printing of 0.5-µm features, common in manufacturing since 1993, was the result of more than 12 years of development of

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

new photoresists, designed to respond to 248-nm light, and new step-and-repeat cameras producing that illumination. The next big decrease in resolution, which is expected to be in production in 2001, is the production of 0.18-µm features; this technology will use photoresists that have been under development since 1992, as well as new steppers operating at 193 nm.

Future of Photolithography

What are the alternatives for future advances in photolithography? There are currently several possibilities:

  • Wavefront Engineering. Because integrated circuit design uses a limited set of objects with limited dimensions, the limitations of classical imaging can be overcome by appropriate design of a mask feature, use of phase-shift masks, or modifying the illumination to change the amplitude and phase of the optical wavefront.

  • Extreme Ultraviolet (EUV). At wavelengths as short as 14 nm, small numerical aperture reflective systems can provide high-resolution and depth of focus. Hurdles to overcome include EUV-robust and reliable x-ray sources, defect-free EUV masks, aspheric reflective optics, and surface imaging photoresists.

  • Electron Beam. Electron beam projection lithography offers promise for resolution as fine as 30 nm with a depth of focus as high as 75 μm for 0.25-µm features. This approach would, however, require a significant departure from current industry processing; for example, electron beam lithography requires processing under vacuum. High cost and low throughput continue to limit the use of this technology.

At this time, considerable progress can still be made with optical lithography—previous predictions of its demise have proven completely wrong. It is important, however, to recognize that the risk is too great for a new technology to be introduced in a single generation of devices. Whatever the technology of choice, it must be developed and put in limited production with operational experience well before full implementation. Appropriate metrology tools for process control and evaluation must be developed in parallel with improved lithography equipment.

Laser Materials Processing

Laser materials processing offers many powerful advantages for manufacturing applications. Unlike competitor technologies such as resistance welding, plasma arc cutting, and flame hardening, lasers deliver energy to the workpiece without physical contact, provide high localized energy densities, and are remarkably versatile in their energy delivery. Although capital equipment acquisition costs can be high, once installed the ease of application, high-speed processing, reproducibility,

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

reliability, greatly reduced distortion especially in thin sheets, ability to interact with complicated shapes (joints in restricted areas can be welded provided a line of sight to the weld is available), and environmental advantages (especially compared with chemical processing) make laser-materials processing increasingly attractive for commercial applications. Such applications range from macroscopic processing (e.g., metal welding, cutting, drilling, slitting), where the thickness of material processed can be several millimeters, to new advances in micromachining where dimensions range from 1 mm down to 1 μm, and finally to the submicron processing of semiconductors.

Although laser types abound, there are two that dominate the laser materials processing field (Bell and Croxford, 1995): CO2 and Nd:YAG. At present only these two types provide sufficient power and a usable beam as a package that can be integrated economically into a production line. Of the two, CO2 lasers have tended to dominate the higher-power market, whereas Nd:YAG is favored for high-precision, low-heat-input applications. Another type of laser, the excimer laser, is beginning to make an impact in industrial processing (Weiss, 1995). These lasers operate in the ultraviolet part of the spectrum (as contrasted with the infrared), and favored types include KrF (krypton fluoride) and XeCI (xenon chloride). Besides the potential for ultrasmall feature sizes due to the short wavelengths, an advantage of the excimer is the way it interacts with materials. Materials processing using an infrared laser is a thermal process, whereas the laser-materials interaction with high-power pulses of UV radiation is a "cold process" that uses energy to break chemical bonds rather than heat the material. Thus, excimer lasers are particularly useful for processing polymer-based materials and ceramics to avoid problems of ablation, charring, or gasification that often accompany the heating of these materials to high temperatures. Box 5.1 notes the use of excimer lasers to clean ancient metal art objects.

There are two areas in which the general field of laser materials processing could benefit from advances in optical technology. The first is

BOX 5.1 LASERS FOR ART RESTORATION

Cleaning ancient and antique art objects can be a tricky task. Chemical cleaning can harm surfaces and be hazardous to the environment. In one alternative method, an excimer laser is used to clean items such as ancient Roman coins by exciting particles in microcontaminants and breaking their bonds with the surface. A flowing inert gas then blows away the contaminants, leaving the surface undisturbed. This process is expected to reveal details by removing oxidation products.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

improvements in optomechanics to solve beam delivery problems for applications such as pipe welding. A second area is adaptive optics to make possible laser surface treatments of arbitrarily shaped surfaces through obscuring, turbulent, or aberrating intervening regions between the laser and the workpiece.

Welding Applications

In the automotive industry, lasers have been used to join stamped steel panels to form underbodies (American Society for Metals, 1983). The process is computerized, and welding is performed at a rate of 1,000 to 1,150 cm/s. Laser welds are continuous, which results in high structural integrity and eliminates the need for a sealing operation. The ability to program a laser welding system has the advantage that underbodies for any car model can be welded by calling up the correct program from the computer memory.

A growing application for laser materials processing is the welding of zinc-coated (galvanized) steel for car bodies. The low melting point of zinc (419°C versus 1535°C for steel) greatly changes the characteristics of the process (Bell and Croxford, 1995). If allowed to stay in the molten weld pool, zinc can alloy with steel during the welding process to produce unacceptable welds. The zinc also forms vapor pockets that, when trapped, expel molten material out of the weld, thus resulting in weld porosity. The solution to this problem includes the use of a pulsed laser that rapidly vaporizes the zinc out of the weld zone before joining the steel.

In the electronics industry, laser welding is used to seal electronic devices that are either high-value, low-quantity production devices or welds that must meet stringent reliability and other special end use requirements (American Society for Metals, 1983). Examples of the latter type include hermetically sealed devices for commercial and military aircraft applications. These devices must maintain highly reliable operating performance under extremely severe environments. For instance, the laser welding of relay containers processed according to military specifications has proven an effective way of sealing each package. Laser welding has been quite useful in such applications because of its ability to produce welds near heat-sensitive, glass-to-metal seals.

The production of heart pacemakers is another application requiring high-quality welded construction (American Society for Metals, 1983). Today, laser welding has become a widely accepted technique for producing hermetic welds in titanium and stainless steel pacemaker cases. A principal power source for pacemakers is the lithium battery, which because of its highly reactive nature must be hermetically sealed. Lithium cells have also entered the consumer and industrial markets

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

as long-lived power sources for such applications as watches, calculators, and backup power for computer memories. The small size, coupled with requirements for a fusion welded seal in close proximity to the reactive contents of the cell, again make the laser ideal for this job.

Although most industrial laser applications are autogenous, lasers are increasingly used in the production and refurbishment of components by adding material during processing (Azer, 1995). For example, prealloyed or mechanically mixed powders can be added to a weld pool. By scanning a laser beam across the workpiece, a weldment is created that is metallurgically bonded to the base metal. The automotive, aerospace, oil, and nuclear industries are benefiting from such welding and cladding techniques.

Cutting and Drilling Applications

In laser beam machining and drilling, material is removed by melting. Such melting does not involve mass material removal since only a very thin layer is actually melted. The technique has the advantage of rapid material removal with an easily controlled, noncontact, nonwearing tool. A major application of lasers is in metal cutting, primarily two-axis profiling of sheet goods that otherwise would be blanked out by punch presses or fabricated by hand after laborious layout of the pattern (American Society for Metals, 1989). Laser cutting and drilling is ideal for batch processes, just-in-time, or low- to medium-volume production. Sheet thicknesses up to 13 mm can be processed.

A recent publication describes one of the first uses of an Nd:YAG laser (instead of the CO2 laser) for cutting sheet metal (Industrial Laser Review, 1997). The application is for assembling burner systems and high-pressure cleaning machines. Other applications include the production of continuous-flow oil heaters and exhaust mufflers. Burst disks for hydraulic systems represent another product. If a fault develops, these disks are designed to burst at a given pressure to vent the system. They are made of high-grade steel, 1 mm thick, and are laser-cut at a precise location to break under a specific pressure.

Laser beams are used also to drill small-diameter holes in stainless steels. Advantages compared to other techniques include lower aspect ratios, less deformation of hole walls, higher accuracy, less taper, and most important of all, high production rates (one hole per second).

Micromachining with excimer lasers is becoming increasingly popular (Weiss, 1995). Applications include using an excimer laser as an alternative to ion milling to pattern thin films onto disk-drive heads. Areas other than semiconductor and electronic applications are growing as well and include flow orifices, such as nozzles for inkjet printers and automobile fuel injection; optical fiber positioning ferrules and waveguides; devices for DNA and other biomedical or biotechnology

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

research; and medical devices. Lasers may be the only technology that can process such materials as chromium- and titanium-based metals for orthopedic implants without unacceptable levels of corrosion.

Laser cutting and welding techniques are used for nonmetallic materials as well. They are highly effective in cutting hard workpieces with low electrical conductivity such as cubic boron nitride, an ultrahard tool material. Alumina likewise can be cut or drilled with lasers rather than diamond saw blades and drills that rapidly dull or wear out.

Surface Hardening Applications

The flexibility of laser delivery systems has made lasers very effective in selective hardening of steel surfaces, especially those subject to wear or fatigue. Although in such applications the heat generated by the laser at the surface is controlled to avoid melting, a steep temperature gradient is set up between the surface and the interior. Selective austenization (change from body-centered iron to face-centered iron) occurs at the surface, which transforms to martensite (a hard form of iron resulting from a diffusionless phase transformation) as a result of rapid quenching (self-quenching) through the conduction of heat into the workpiece. Because the process is all solid-state, no change in chemistry is produced at the surface by this laser transformation hardening.

Laser transformation hardening is often used to harden the surfaces of automobile components such as camshafts and crankshafts. High hardness and good wear resistance with less distortion result from the process. Also, the laser method differs from induction and flame hardening in that the laser can be located some distance from the workpiece (American Society for Metals, 1991).

Molian has tabulated the characteristics of 50 applications of laser transformation hardening. The materials hardened include plain carbon steels, alloy steels, tool steels, and cast irons. Because the absorption of laser radiation in cold metals is low, laser surface hardening often requires energy-absorbing coatings on surfaces (Molian, 1986).

Industrial Lasers Market Perspective

A special class of lasers, known as ''industrial lasers," has evolved to serve the needs of laser materials processing for manufacturing and exists as an industry in its own right. The United States once dominated this industry, but in recent years has dropped to a minority share (Box 5.2).

The three main applications of industrial lasers today are (1) sheet metal cutting, (2) automotive welding, and (3) component marking and product coding. By mid-1995 more than 62,000 industrial lasers had been installed worldwide. The annual worldwide market for industrial lasers has grown to more than $400 million per year (Table 5.2), with the worldwide market for systems that use industrial lasers at approximately $1.5 billion, supplied by 500 separate companies that employ

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

BOX 5.2 RESTORATION OF U.S. COMPETITIVENESS

The United States has slipped from its leadership position in industrial laser technology. A comprehensive plan is needed to restore U.S. competitiveness, including promotion of this technology, education of laser-aware engineers, and making information more available to potential users.

more than 18,000 people (Belforte, 1995). By the year 2000, however, at least 25% of the new industrial lasers installed are expected to be used for applications that are not yet in routine use, such as precision hole drilling in the aerospace industry. Emerging technologies being developed for these applications in Europe and Japan include high-power Nd:YAG lasers coupled with robotic beam delivery (mostly in Britain and Germany), combination systems for metal fabrication (mostly in Japan), and compact high-power CO2 and Nd:YAG lasers (mostly in Britain and Germany). No similar scale development effort is under way in the United States.

Until the 1980s, industrial laser technology and the industrial laser market both developed slowly and without direct government funding. U.S. suppliers dominated the world market for many years, although a few European companies began to produce CO2 and solid-state lasers as early as 1970. Between 1970 and 1985, however, there was a significant net outflow of laser technology from the United States, and in 1985, industrial laser activity in Europe and Japan began a period of rapid development in both technology scope of application and market

TABLE 5.2 Annual Worldwide Market for Industrial Lasers

Year

Market ($ millions)

Increase (%)

1970

8

1975

10

25

1980

40

300

1985

100

150

1990

250

150

1995

410

64

2000*

820

100

* Projected

Source: Belforte, 1997.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

TABLE 5.3 World Share of Industrial Laser Production

Source

1980 Share (%)

1996 Share (%)

United States

85

37

Europe

10

29

Japan

5

31

Other

0

3

 

Source: Belforte, 1997.

share (Belforte, 1995). Fifteen years ago the United States supplied more than 90% of all industrial lasers worldwide, but by the early 1990s the U.S. market share of the industrial laser business had slipped to only 37% (Belforte, 1995; see Table 5.3). U.S.-owned companies now enjoy a leadership position only in low-power sealed CO2 lasers; intermediate-power fast axial flow CO2 lasers; low-power, diode-pumped Nd:YAG lasers; and laser marking and coding systems (Belforte, 1995).

Industrial lasers must compete with many other materials processing technologies. To be accepted by industry as a new replacement option, they must show excellent cost-benefit performance. Acceptance also requires the education of potential users. European and Japanese universities have graduated numerous trained applied laser engineers who now occupy decision-making positions in industry in their countries. No similar scale effort has occurred in the United States.

The Precision Laser Machining Consortium

The Precision Laser Machining (PLM) Consortium is a government-industry-academia alliance designed to spur new technology development and insertion to reestablish U.S. leadership in industrial lasers and capture a larger share of the total market. The PLM alliance was formed under the DARPA Technology Reinvestment Project (TRP). Boeing, Caterpillar, Chrysler, Cummins, Ford, GE Aircraft Engines, General Motors, Newport News Shipbuilding, TRW, and United Technologies are among the 20 organizations to join forces under this $38 million project. The above industries, which represent 8% of GDP, drive development teams to achieve the PLM alliance goals.

The alliance plans to build on the Department of Defense (DOD) high-brightness diode-pumped solid-state laser (DPSSL) program, exploiting this U.S. technical advantage as a dual-use technology. High average power diode-pumped Nd:YAG can be scaled to power levels beyond the current generation of lamp pumped rod geometry lasers. Two lasers are in development: a 6-kW quasi-continuous wave (cw) or cw laser and a 2.5-kW Q-switched laser at 25 MW peak power,

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

both with beam divergence less than three times the diffraction limit. PLM also focuses on flexible fiber-optic laser-system power delivery with a net intensity increase of 30 to 100 times that of current industrial laser systems and on extensive machining process studies.

Figure 5.2 shows an example of the preliminary results that have interested the alliance users. Most of the benefits accrue from shorter wavelengths, higher beam intensity, and sharper focus. These subscale tests will be expanded to two beta-test sites and eventually to six to eight Laser Application Centers. The sites will feature machining stations in a factory setting with computer numerically controlled robotics, positioning, and diagnostics. Information on laser machining will be made available through outreach programs to educate users and designers in the application of these laser tools.

Key challenges for PLM and beyond include the following:

  • Providing highly reliable lasers at an affordable cost;

  • Achieving lower diode laser array costs and increasing their life to 20,000 hours or so;

  • Achieving near-diffraction-limited performance from multimode fibers; and

  • Developing a "smart machine tool" with real-time process control.

Rapid Prototyping and Manufacturing Using Optics

The pressure to bring new products to market on an ever-decreasing design-to-manufacture cycle time has driven the development of techniques to produce prototype parts in the early stages of development,

FIGURE 5.2 Technology developed by the Precision Laser Machining Consortium can cut composite materials for airframes with "polished edge" quality (left). Conventional lasers (right) leave charring and delamination. (Courtesy of L.J. Marabella, TRW.)

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

BOX 5.3 NEW APPROACHES TO MANUFACTURING

Techniques for creation of solid materials from CAD tapes can now produce tooling directly, revolutionizing the manufacturing process and creating a business worth approximately $500 million per year.

where adjustments can be made to part size and shape easily and inexpensively.

Previously, firms spent several months generating drawings, using extensive hands-on tool or pattern makers. In the new paradigm being employed by major automobile, aerospace, digital equipment, plastic product, and other manufacturers, designers and modelers interact, exchanging part descriptions digitally. Many smaller firms use service centers that own, operate, and maintain the solid manufacturing capability for the "manufacturing middle," the time between completion of the design and manufacture of the first part based on that design. More than 200 service centers now exist worldwide. Worldwide sales are expected to exceed $1 billion by 1998, at an annual growth rate of 50%. This reflects the desire of major firms to save money and shorten the product time-to-market.

Some advantages of rapid prototyping are as follows:

  • Optimizing design before commitment to hard-tooling;

  • Reducing cost and lead time in the product realization cycle;

  • Producing prototype models more efficiently and quickly; and

  • Quickly providing high-quality patterns for investment casting.

Computer-aided design (CAD) and manufacturing (CAM) started the manufacturing industry on the path to a totally digital process. Computer numerically controlled machining equipment translates the digital information directly to create the physical product. All of this technology makes the manufacturing process more efficient, has tremendously improved the quality of final products, and allows for cost-effective, flexible, agile, and portable manufacturing approaches (Box 5.3).

Laser modeling (Figure 5.3) is a new addition to this suite of digital, automated manufacturing process steps that addresses the manufacturing middle. Solid models can be created in several different media by using laser irradiation to build a solid design directly from the information stored on a CAD tape, layer by layer. Relay and scanning optics are used to position and focus moderate-power visible lasers to photopolymerize a liquid or by high-power CO2 lasers to thermally activate powder or paper. The first use of rapid prototyping in commercial, industrial equipment was based on photopolymers; systems based on this technique

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.3 Skull model produced by three-dimensional rapid prototyping. (Courtesy of 3-D Systems, Inc.)

now constitute about half of the market for rapid prototyping equipment. With newer epoxy resins, surface roughness accuracy better than 50 micron inches [root mean square (rms)] are achieved for models and finished forms for casting. In a competing rapid prototyping technology, powders of thermoplastic-coated particles are sintered into a solid, or adhesive-coated paper is patterned by CO2 laser radiation with powers of approximately 50 W. Other variants of these basic photopolymer and powder techniques are under development or are manufactured in limited quantities, especially in Germany and Japan. The United States dominates the industry with 60% of the systems in use worldwide.

To maintain a competitive edge, the industry is moving away from visible gas lasers toward doubled or tripled diode-pumped YAG lasers. A major goal is a solid-state 1-W laser at 350 nm. In all wavebands, the cost of beam delivery and modulator optics is too high and has to be reduced. Beam shaping and positioning also require improvement to achieve RMS accuracy of less than 2.5 micro-inches. Although this technology is creating a manufacturing revolution, individual equipment costs exceeding $100,000 are an impediment to even higher growth. Cost reductions starting with the incorporation of laser diodes will lead to market expansion.

Use of Optics to Control Manufacturing

Optics has immense advantages for providing real-time information that can be used to control manufacturing processes. Optical probes for in-line process control offer the ability to perform noncontact remote sensing in hostile environments with high-speed response and high spatial resolution; optics also provides other important benefits such as spectroscopic analysis of composition. The imaging capabilities of optics can be exploited by machine vision for automated (robotic) manufacturing processes. Optical metrology techniques allow control of critical dimensions and layout or positioning; infrared imaging allows rapid determination of temperature profiles of semiconductor chips and preventive maintenance in many manufacturing scenarios. The text below discusses selected examples of such techniques.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

Metrology

Metrology, by definition, is the science of weights and measures, but the term is more broadly applied to the entire field of measurement and inspection. Optically based metrology and inspection systems are found throughout industry for the manufacture of a broad range of products. Industry's use of optical metrology is widespread and growing, but limited at present by the need to customize a solution for each specific application. Some of the optical metrology techniques that are in use today are based on conventional imaging including video and microscopy systems, displacement measurement and ranging, interferometry, scanned imaging systems such as confocal microscopes, structured lighting for large structure shape profiling, optical microsensors using fiber optics and integrated optics, scattering, spectroscopy, and polarization, to name a few.

As shown in Figure 5.4, the optoelectronic sensing equipment market for industrial metrology applications is expected to double every 5 years.

The usual optical metrology system consists of an illumination source, optics to focus the illumination and direct it where needed, a detector to collect information about the part under inspection, and electronic processing to extract the desired property from optical data. Uses of metrology systems include the following:

  • Inspecting products and components to identify defects;

  • Measuring products for dimensions and conformance to specifications;

  • Monitoring manufacturing process conditions; and

  • Positioning and aligning pieces for subsequent processing.

FIGURE 5.4 Projected worldwide market for optoelectronic sensing equipment. (Source: Optoelectronics Industry Development Association.)

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

A major economic benefit of optical metrology systems is in the use of measurements to provide real-time manufacturing process feedback control. The advantages of optical metrology are that it is fast, it involves no contact with the part, and it is nondestructive. Optical inspection systems can be sensitive to a variety of parameters simultaneously, such as chemical composition, electronic state, mechanical stress, temperature, size, flaws, or texture. Many optical metrology systems are built using visible light, but specific applications may use wavelengths ranging from the vacuum ultraviolet (10 nm) to the far infrared (300 μm). This broad wavelength range is of great utility in metrological applications because of the ability to measure relative dimensions with equal accuracy over a wide range of scales.

Measurement problems facing industry include measuring absolute dimensions over long distances to an accuracy of less than 100 μm, high-accuracy measurement of aspheric surfaces, three-dimensional data visualization, inspection of particle contamination as small as 10 nm on semiconductor wafers, and waveguide sensors for biological and chemical sensing. In one such waveguide sensor, embossed gratings control the light path, and the sample to be measured is analyzed spectroscopically as it flows over the waveguide.

Another general trend in optical metrology system development is the use of optics to encode additional information about the part in the image captured by the machine vision system. An example is to use structured lighting to allow height or profile information to be determined from an image. Other examples are dark-field illumination for defect enhancement or multispectral characterization.

Measurement standards and practices are another area in which optical metrology systems play a significant industrial role. A current problem is dimensional metrology for lithography systems. As integrated circuit feature sizes decrease, the linewidths on the wafer must be measured to an accuracy of 1 nm. It is not surprising that no suitable linewidth standards exist for these narrow lines, since an industry rule of thumb is that a measurement system must have resolution approximately one order of magnitude finer than the accuracy required (Brueck, 1995). Standards for film thickness measurement are also being pushed to their limits. Specifications for oxide layers ranging from 40 to 6000 Å (angstroms) call for film thickness accuracy better than 2% and uniformity over a wafer of better than ±5%. As with measurements of lateral dimensions, standards and measurement systems for vertical thickness must be significantly more accurate than the manufacturing dimensions they are designed to address.

Other areas that require development of standards are high-definition imaging systems and colorimetry. A goal of the latter is that the appearance of a color on a cathode-ray tube (CRT) display of a computer-

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

aided design or layout should match the produced color on a textile or printed hard copy under the chosen illumination.

Future advances in optical metrology systems that are likely to have a large economic impact on manufacturing include the development of integrated optical sensors, more optics-based sensors for process monitoring and feedback control, smarter sensors with advanced data processing techniques, holographic three-dimensional imaging, and optical figure measurements to 1-nm accuracy.

One often-observed barrier to the introduction of optical metrology systems is the apparent need for custom solutions for each specific application. This customization has an impact on the selection of a particular optical configuration, the illumination system, and the processing algorithms. This situation implies that after new metrology technologies are developed, the technology must often be customized before implementation, which increases cost and the time for system delivery. More broadly applicable "plug-and-play" systems are needed, especially modular units and mounting apparatus.

Machine Vision

Machine vision in the manufacturing environment means the extraction of useful information from the imagery of products or product-related scenes, using image processing techniques and pattern recognition algorithms. Machine vision is a collection of image-based applications that use sensitive, high-resolution, visible and infrared cameras for detection purposes. Rapid data processing then allows accurate establishment of location and dimensions for fixtureless machining, high-sensitivity flow detection for quality control, metrology, and other applications. The goal of machine vision is to allow automatic adjustment and optimization of the manufacturing process, quality control, and inspection ultimately in real-time. Machine vision is currently limited to use in expensive, multistep processes and industries that require high throughput but simple inspection. This will remain true unless machine vision algorithms improve dramatically.

Most machine vision applications are being developed to replace human inspectors. One aim is cost reduction. Another is the need for a more objective, quantifiable assessment that provides information in a form suitable to control or modify a process or to perform acceptance testing and results in enhanced reproducibility and precision.

Replacing human visual inspection can have several advantages. Human performance varies from inspector to inspector and degrades after inspecting continuously for an extended period. Human performance also degrades as the complexity of the inspection task increases. For example, one particularly successful application of machine vision has been the replacement of human inspectors of printed circuit boards

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

and silicon wafers, a highly complex task given the industry's stringent manufacturing requirements. Machine vision with appropriate signal processing and process control can replace a human on a high-speed assembly line, allowing even higher processing speeds and performing inspections when an item is available for only a fraction of second. However, the image analysis capabilities of human inspectors are unsurpassed for a wide variety of inspection tasks such as cosmetic appearance. Human inspectors are generally insensitive to small variations in lighting or orientation. The complete range of remarkably robust and flexible capabilities of human vision cannot presently be duplicated.

Beside its obvious and frequent use in robotics, machine vision can provide a wealth of information, including the size, position, and orientation of products; spectral characteristics; texture; and defect detection and classification. Some of the tasks for which machine vision systems are used in a manufacturing setting include the following:

  1. Product inspection

    • To catch defects at intermediate stages of a process,

    • To detect hazardous defects in a final product, or

    • To verify the cosmetic appearance of the packaging;

  1. Part identification and location on an assembly line;

  2. Gauging (process monitoring);

  3. Process control feedback; and

  4. Sorting and grading.

A complete machine vision system typically requires carefully designed lighting, video hardware, user-computer interfaces, powerful computer hardware to carry out computationally intensive processing rapidly, and a set of algorithms to analyze the imagery. As defined here, machine vision systems represent a subset of the more general category of industrial metrology and inspection systems. Machine vision systems analyze and extract information from video imagery and do not include systems, such as proximity position sensors, that require little elaborate image analysis. About 7,000 machine vision units were sold in North America in 1990, according to a survey by the Automated Imaging Association. Various manufacturers have developed a similar number of units in-house.

What has limited the further application of machine vision technology? By far the most important limiting factor is the poor performance of the image processing and pattern recognition algorithms. Although many sophisticated algorithms have been developed, each is designed for a specific task and can perform effectively only in highly constrained or defined situations. In a defect detection application, for example, changes in lighting, changes in the reflectance of the product, or changes in position or orientation-to which human inspectors are

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

relatively insensitive—can be interpreted incorrectly by the computer system as a flaw in the product. There are also some hardware limitations, such as the limited resolution of charge-coupled devices for gauging systems. However, machine vision technology can be designed, on a case-by-case basis and under very tightly controlled conditions, to handle many useful tasks. There is no widely applicable generic approach, and the necessary sophistication of the design and equipment can make machine vision quite expensive.

Two areas might lead to improved algorithms and hence to broader use of machine vision technology in manufacturing: (1) Interdisciplinary vision science research between psychologists researching human vision and engineers and scientists researching machine vision would help to understand and mimic human visual perception; and (2) techniques employed in satellite image analysis, including the use of extended wavelength or multispectral information and recognition of high-dimensionality patterns, would provide advantages that machine image analysis might have over human vision.

Sensors

The topic of sensors is covered in depth in Chapter 3.

Specific Industrial Applications

This section provides examples of the use of optics in several large manufacturing industries. In these industries, optics is used to perform and control manufacturing. The specific examples that follow are the automobile, semiconductor IC, chemical, aircraft, construction, and printing industries. There are many other important uses of optics in manufacturing, but they are so diverse and widespread that this report can address only a few representative examples.

Automobile Manufacturing

The utility and insertion or adoption of optical methods in automobile manufacturing is ultimately determined by basic economics. Because a cost differential of even $100 per car is significant in this industry, greater manufacturing cost-effectiveness is required. The potential for optics to lower automotive manufacturing costs is largely untapped in the United States, and fuller exploitation requires immediate changes in the optical engineering infrastructure.

The use of high-power lasers for materials processing functions such as cutting and welding has now become routine in numerous automobile manufacturing applications. Despite a decade-long head start in the United States, this area is now dominated by Japan, which currently has

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.5 (a) Fiber-optic-guided Nd:YAG laser beam cutting holes in a truck floor. (b) Design for a tailored blank for a car door panel. (Courtesy of D. Roessler, General Motors R&D Center.)

about 60% of the world's automotive lasers. Some current U.S. applications are a belated recognition of the successes enjoyed overseas.

Figure 5.5(a) shows the use of a fiber-optic guided Nd:YAG laser beam to cut various option holes in a truck floor pan. The flexibility of the laser allows a drastic reduction in the number of different floor pans that must otherwise be stamped out. On average, about half of the sheet metal used to make automobile bodies ends up as scrap. Figure 5.5(b) shows how a door panel can be made from a ''tailored blank"—a sheet of metals made by welding together smaller pieces of varying thicknesses, alloys, and coatings to give properties appropriate to different parts of the panel. The use of tailored blanks greatly reduces scrap and also ensures that expensive or heavy materials are used only where necessary in the final component.

It is important to note that in the area of automotive manufacturing, there is an important technical difference between U.S. auto manufacturing and foreign auto manufacturing. U.S. automotive production lines have a significantly higher throughput than those of the leading foreign adopters of industrial lasers for materials processing, such as Volvo and Daimler-Benz. Installation of industrial lasers on these high-volume automotive assembly lines will be on a step-by-step basis, achieved only after cost benefits are proven for each step (Dinda, 1996).

Machine vision systems are being used in a wide range of applications in automotive manufacturing and help improve quality by generating information used to adjust and optimize manufacturing processes (Box 5.4). The principal needs of machine vision system users in the automotive industry are more robust systems configured from low-cost, standardized components. There is a need for an accurate three-dimensional machine vision system that would serve as a foundation on which to build a variety of fully automatic manufacturing systems that can automatically adapt to their changing environment, leading to the fixtureless manufacturing line.

Although the use of tailored blanks and other such advanced laser materials processing technologies is not fully used in U.S. manufacturing plants, several other modern optical techniques are being pursued

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

BOX 5.4 IN-LINE VISION GAUGING FOR AUTOMOTIVE BODY ASSEMBLY

The dimensional quality of an automotive body greatly influences the downstream assembly operations (panel fitting and general assembly), quality and functionality (wind noise, water leakage, and door closing effort), and customer perception (gaps and flushness) of the vehicle. Accurate and rapid measurement of these assemblies has been difficult to accomplish. However, in-line vision gauging systems have now been developed as the keystone checking device to measure dimensional variation. These systems are known as in-line optical coordinate measuring machines (OCMMs). These optical gauges are essential in the effort to improve the fit-up of automotive body panels to a gap tolerance within 2 mm (see Figure 5.6).

An OCMM can measure the dimensions of each automotive body produced. It can also rapidly measure as many as 100 points on the body, providing high-dimensional multivariate data. By investigating the relationship among the various measurement points obtained by the OCMM, the sources of dimensional variation can be readily identified, and appropriate corrective actions can be taken.

The basic principle is cross-correlation among measurements. An OCMM measures critical points on the subassembly or component employing several sensors. During assembly, these points move together in some pattern. Analysis of the degree of correlation and relative variation in the pattern of these points (e.g., translation or rotation) leads to systematic identification of workstations that are introducing dimensional variation (Hu and Wu, 1992). The reasons for the dimensional variation can then be addressed to improve the assembly tolerance.

This methodology has been successfully applied in automobile assembly plants to reduce variation and shorten the launch times for new body assemblies. Figure 5.7 shows the reduction of variation in one automotive assembly plant.

actively in the automobile industry's development and systems integrating laboratories, frequently at auto industry component suppliers' facilities. Some, such as laser ultrasound for nondestructive testing of solid materials, offer great potential, but their success will be determined only in the relatively distant future. Thermal imaging systems offer unique capabilities in the area of predictive and preventive maintenance, where hidden problems in machinery or defects in products can often be located and detected prior to failure due to their inducing some form of localized heating. Adapting semiconductor processing techniques for scribing, labeling, and trimming to the automobile industry will focus particularly on cost-effectiveness. Other technologies are likely to become important in the nearer term, such as those illustrated by the following three examples:

  1. Machine vision for drilling, welding, and aligning;

  2. Microelectronics to control automobile functions; and

  3. Rapid prototyping to reduce the time from design to production, now typically on the order of 4 years.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.6 Schematic of an optical coordinate measuring machine (OCMM) measuring body dimensions. (Courtesy of D. Roessler, General Motors R&D Center.)

The committee believes that the use of modern optics in automobile manufacturing will continue to increase but that the pace of introduction of these methods in the United States is slow in comparison with many foreign competitors. Improved training in modern optical manufacturing methods is important for efficient insertion and technical development of these new applications. This training should involve all levels of the industry, from the assembler to the plant engineer to plant management to the user and field installation or repair sectors.

The Semiconductor Integrated Circuit Industry

The immense semiconductor integrated circuit manufacturing industry is powered by optics, optical systems, and optical materials. A modern electronic integrated circuit is a complex three-dimensional structure of alternating patterned layers of conductors, dielectrics, and semiconductor films. This structure is fabricated on an ultrahigh-purity wafer substrate of a semiconducting material such as silicon or gallium arsenide. The speed and density of the devices is, to a large degree, governed by the size of the individual circuit elements. As a general rule, the smaller the elements are, the faster is the device and the more functions or operations it can perform per chip. The device structure is produced by a series of steps used to precisely pattern each layer. The patterns are formed by the photolithographic processes discussed earlier in this chapter. For each processing step performed, there are a variety of measurement and inspection techniques (many of them optical) to ensure that the step was performed correctly.

The worldwide market for semiconductor components was estimated to be $100 billion in 1993 (Brueck, 1995) and is expected to grow by 20% or more per year for the rest of the century. To meet the demand, the capacity for semiconductor chip manufacture will continue to increase. Semiconductor manufacturing tools were a $12 billion market

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.7 Reduction in body variation. (Courtesy of D. Roessler, General Motors R&D Center.)

in 1993; with an expected compound annual growth rate of 17%, the 1997 market was projected to exceed $20 billion (Brueck, 1995).

Photolithography is a key enabling technology for the industry. The total costs for photolithography are approximately 35% to 40% of each chip fabricated, because each wafer must pass through the photolithography process many times to build a complete integrated circuit. For example, a 64-megabit chip requires about 21 photolithographic steps.

In addition to photolithography, there are numerous applications of optics in inspection and process control. The very first step in IC manufacturing, an initial inspection of the silicon wafers as they are removed from their packages, is performed by shining light at an oblique angle onto the wafer while viewing it from above. Surface debris or flaws will reflect light into the viewing lens and will appear in contrast as light or dark spots against the gray background of the clean silicon wafer. Previously, this inspection was performed by a human operator, who determined if the number of defects on the wafer was fewer than an acceptable number. This image analysis is now performed by an automated system, which will produce a defect map of each wafer inspected and will sort wafers without operator intervention into "acceptable" and "unacceptable" bins according to the user's criteria. This process is a very simple application of machine vision to integrated circuit manufacture. Similar inspections are made after almost all of the processing steps, from oxide growth to hin film deposition to etching. Some of these inspections are automated, but many still require the image analysis powers of the human operator to accomplish because of the increasing complexity of the images as the wafers proceed through the processing line.

Another area in which optics and optical systems contribute greatly to manufacturing process control is in noncontact film characterization—for example, thickness measurements. Integrated circuit manufacturing

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

requires controlled growth or deposition of a wide variety of films, including oxides ranging in thickness from 100 Å to a few microns, polysilicon films for gate structures, photoresist for patterning, and silicon nitride films for vertical isolation of conductive lines. Controlling the thickness of many of these films involves empirically characterizing the deposition system by growing a set of films under different processing conditions (e.g., varying time and temperature) and measuring ex situ the film thicknesses to determine the processing conditions necessary. For transparent films, thickness and other characteristics are routinely measured using ellipsometry. For reflective films, a mechanical step-measurement system is more common. With each wafer lot processed, the film thickness is measured and processing conditions are adjusted (controlled) to maintain the correct film thickness for the subsequent lot.

Diagnostic uses for optical sensors in semiconductor manufacturing can be thought of as addressing three areas of manufacturing: contamination-free manufacturing, adaptive process control, or environmental safety and health. Contamination-free manufacturing includes the detection and analysis of trace impurities, particles, and submonolayer surface contamination. Adaptive process control includes the use of sensors and feedback systems for photolithography, dielectric film deposition, etching, and metallization processes. Measurement and analysis of trace impurities and "smokestack" monitoring contribute to improved environmental safety.

Common optical measurements used in semiconductor manufacturing include scatterometry for measuring critical dimensions, thicknesses, and sidewall profiles, and interferometry for measuring the alignment of upper layers with those below and for measuring temperature in rapid thermal processing applications. Particles and contamination are commonly measured using infrared spectroscopy.

These many requirements for measurement represent a tremendous impetus for the development of in-line process sensors for immediate feedback and process adjustment. To improve process yield and reduce defects requires sensors that are fast enough to measure and analyze pertinent process parameters during processing and that are as reliable as the processing equipment itself. Along with the development of sensors that can work in the often hostile atmospheres required for IC processing, nonoptical requirements include increased computational power at the individual tools and throughout the manufacturing facility and improved modeling of manufacturing processes to identify the most effective measurements for process control (Brueck, 1995).

Optical systems that can replace the human operator for inspections or can provide in situ film thickness measurements offer great potential for integrated circuit manufacturing improvement.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

Display Manufacturing

Optical techniques are used extensively in making active-matrix liquid crystal displays (AMLCDs). The first step is to use optical lithography to produce the hin film transistor arrays and color filter arrays. Optical inspection is then used to monitor the quality of bare substrates, patterned substrates, color filter arrays, and the final display product itself. Diagnostic procedures during processing make use of optics for particulate control. Ultraviolet light is often used to cure the seals of the liquid crystal cell. Finally, lasers are often used to locate and repair manufacturing defects.

Because of the extensive use of such techniques, incremental improvements in the tools for optical lithography, optical inspection, and optical diagnostic procedures during processing have considerable leverage in manufacturing large-volume throughput and hence in the cost and performance of devices such as future flat-panel display products. Some challenges for the improvements of lithography tools include achieving higher resolution (1 μm versus today's 3 μm), better overlay (1-µm precision over a 12-inch lateral distance), better linearity, and faster exposure times. Optical inspection tools should be more intelligent, easier to use, cheaper, faster, and better able to operate in concert with other tools. Processing diagnostics must be able to count lower levels of particulate contamination and allow optical testing of starting materials both before and during manufacturing.

In addition to these incremental changes, there are several ways in which new inventions in optical technology can have a profound effect on the display industry. For example, if optical inspection tools for display components could be made sufficiently intelligent, the need for costly and more error-prone human involvement in the inspection process could be further reduced. Optical techniques for liquid crystal alignment could be developed that would diminish the yield-limiting rubbing step in the manufacturing process, or new optical components could be developed, such as compensation films or structured back-lights, that could significantly enhance display efficiency.

The Chemical Industry

Optical diagnostic instrumentation, especially instrumentation for absorption spectroscopy and spectrometry, has long been a mainstay of the chemical industry (Box 5.5). Off-line analytical laboratories were the primary users for applications such as quality control and trouble shooting. Today, optical techniques are used to provide information for process control in-line (within the process tool) and at-line (within the processing area), which allows up-to-the-second evaluation of chemical streams during the manufacturing process, in turn allowing real-time process control. This ability to maintain optimum operating conditions

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

BOX 5.5 OPTICAL PROBES FOR MONITORING AND CONTROL

Optical instrumentation for monitoring and control is critical to efficiency and quality in the chemical industry. A key goal is the development of inline optical probes that can withstand adverse environments without degradation.

in real-time to meet specific customer requirements results in a measurable reduction in waste, which in turn leads to a desirable reduction in environmental degradation and a reduced need for waste management. The improved speed and sensitivity that have led to this expanded range of applications within the manufacturing line have been made possible principally by the advent of optical fibers, lasers, or other improved light sources, and new optical detectors, along with advances in computer technology.

In the chemical industry, optical techniques are critical parts of a multifaceted approach to chemical process issues to advance quality, quantity, customization, and reductions in waste while enhancing yield. This has resulted in part from excellent collaboration between industry and the academic sector, where optics have been integrated into process developments and design. A concept known as Plant 2000, being developed at the University of Washington, the University of Tennessee, and other centers, will use model-based control and on-line simulation to establish expert system supervision of the chemical stream.

The use of optical probing techniques has expanded to include using laser diodes, optical fibers, improved dispersive elements, and detector arrays. Optical diagnostics now in use include laser Raman spectroscopy, fluorescence spectroscopy, measurement of turbidity and solids distribution by light scattering, critical angle refractive index measurements, and combinations of these various techniques to name just a few. New areas will include video, microscopy, and others. These systems can be operated either at-line or remotely and are easily transportable. Optical fiber is key in the transport of information from the probe site to the analysis location (Figure 5.8).

However, current optical fibers are not robust in many chemical environments. Therefore, a serious barrier to the expanded use of optics-related process diagnostics is the development of a robust probe capable of operating in the adverse environments of chemical systems. Window performance is also a critical element, because an appropriate window material can allow the use of less robust probes by providing optical access to a chemical process. Windows must frequently operate

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.8 Design for a sealed fiber-optic probe. (Courtesy of R.S. Harner, Dow Chemical.)

in environments that not only are corrosive but also exhibit extreme conditions of temperature, pressure, flow (acoustics), mechanical forces, and electromagnetic interference.

Improvement to present technology will enhance productivity in the chemical industry. No advance in associated optical technology has been more important than the optical fiber, which both transports light energy into the system as a probe and transports it out of the system as a signal.

The implementation of optical sensors has been found in some instances to double the production output of an existing chemical plant. In other instances, chemical processes could not operate at all without real-time optical sensors. The use of such sensors is currently quite limited, however. The primary barrier to more extensive use is cost, both of the sensor or probe itself and of its installation into existing plants. Most applications require customized probe design since standardized optical probes are not commercially available.

Aircraft Manufacturing

Airplane manufacturers have similar needs featuring accurate location and alignment or layout of mating three-dimensional structures over large distances. Laser and other modern electro-optic systems are being effectively and routinely employed to save time and cost, while quality is maintained or improved relative to the older techniques such as photogrammetry that use theodolites or similar measurement instruments.

For example, three different modern optical instruments have been developed at Boeing. The Video Measurement System accurately positions large structural members as illustrated in Figure 5.9. Key fiduciary points are marked by retroreflectors. The illuminator features two to

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.9 The Boeing Video Measurement System as used during installation of an AWACS strut assembly. (Courtesy of R. Withrington, Hughes Aircraft Company.)

four optical light sources and video detection to accurately position (~0.01 inch) the AWACS strut assembly on a 767 aircraft. The second instrument, the Laser Tracker Instrument, is a real-time coordinate measurement system for accurately mapping large structures. Typical operational range is more than 80 feet. The system uses amplitude modulators based on multiple-frequency semiconductor-lasers. Important uses of this instrument include verification of machine tool accuracy and profiling surfaces to archive engineering models. The third instrument, developed at Boeing, is a scanned laser template generator. Optical templates are used for locating and placing the cut edges of plys to produce ply dropoffs during composite component manufacturing. Planes of light, generated by a rotating laser head, are used for airplane body joint and wing alignment and for the generation of airplane interior reference planes during cabin outfitting. Crossed-fan laser beams are used to align a reference mark on a workpiece with a drill during machining.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

It appears that aircraft manufacturing problems are the impetus for the use of specialized optical instruments specifically designed for an application as opposed to a particular existing optical technology or apparatus being adapted to this purpose. At McDonnell-Douglas and British Aerospace, new electronic theodolites have been very effective in equipment calibration with reported cost savings of more than 80%. Cost savings at Boeing are proprietary, but the installation of multiple units speaks to the success of the introduction of these optical techniques; active research and development on optical hole diameter measurements, surface profiling, wind tunnel instrumentation, and both cutting and welding indicate a considerable cost payoff in aircraft manufacturing.

The Construction Industry

New construction accounts for about 9% of the nation's gross domestic product each year. The industry includes the construction of residential and commercial buildings, factories, airports, tunnels, dams, landfills, environmental remediation systems, and a wide variety of other products. The annual market for construction is growing in the United States and worldwide, especially in the Pacific Rim and in Europe.

Construction projects typically require the acquisition and assessment of a lot of data. Optical techniques can make this process faster and cheaper by reducing the need for expensive labor or making it more efficient.

The use of optical methods in the construction industry is widespread but relatively straightforward. The techniques used fall into four categories: (1) optical systems incorporated into the final constructed product, (2) optical tools used in designing and building the product, (3) optical transducers that monitor activity or conditions at the construction site, and (4) optical elements that monitor the condition of finished structures over time.

The optical systems now being incorporated into some buildings and other constructed products can be quite sophisticated. Natural and artificial lighting systems are designed not only to illuminate the interior but also to control the structure's heat loss and gain. They incorporate optical coatings on lights and windows, lenses and mirrors, heliostats, light tubes, and other elements. Illuminating systems are discussed in more detail in Chapter 3.

Design and construction tools include optical image scanners, laser guidance systems for construction equipment (see Figure 5.10), geodetic measurements including fly-over and satellite-based mapping systems, and laser tools for precision cutting and welding of construction materials or monitoring of shifting structures and stresses. Laser guidance systems can be used to control the line and grade of tunnels, to control the blade elevation of grading equipment for site earthwork,

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.10 Laser-guided tunneling equipment. (Courtesy of B. Dorwart, Shannon and Wilson, Inc)

and to control equipment for railroad track maintenance and highway repaving. Optical guiding can sometimes boost tunneling speed by as much as a factor of two, not only because fewer stops are needed for equipment realignment, but more importantly because straighter tunnels allow for faster and more efficient removal of waste material from the tunnel. Laser levels and targeting systems act as templates during construction by placing a spot or a line in an area to be excavated, eliminating the possibility that survey markers might be moved during excavation and often allowing replacement of an expensive survey crew by a less skilled person. Laser-guided tunneling has been accomplished from both tunnel ends, further reducing construction time.

At the construction site, optical transducers are used for gathering engineering data and for geodesy (surveying), including making distance measurements, measuring positions, and monitoring physical and chemical properties that can be detected from changes in optical properties. For example, in building a highway or a railroad, laser-based equipment may be used to measure displacements, grades, and loads. The alternatives, such as manual, mechanical, or sonic techniques, are often slower, more expensive, and less accurate. In an environmental remediation project, optical monitors may be used for groundwater, air quality, or stack emission measurements. Here the competing technology is usually manual sampling and analysis in a laboratory, which is often expensive and slow, especially for subsurface measurements such as groundwater, tunnels, or utility pipes. Video systems and stereo photogrammetry are becoming increasingly popular for documenting conditions at the construction site and their change over time.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

What are some of the industry's other needs that can be met by further developments of optical equipment or employment? Cost and ruggedness are key. Conditions on a construction site are often extreme, and equipment must be able to tolerate heat, cold, dust, humidity, and vibration. Equipment that requires extremely careful handling or a highly trained operator is unlikely to be accepted for construction use. Survey equipment has to be portable, repairable, or replaceable while in the field, and able to operate 12 hours on a battery charge. Monitoring equipment must be accurate, reliable, low power, and remote-sensing. For soil or water characterization, the most useful parameters to measure include pH, total dissolved solids, identity and concentration of hydrocarbons and chlorinated hydrocarbons, and turbidity. Inexpensive and accurate continuous monitoring of water pressure (to within 0.1 pound per square inch) and distance (to 0.01 mm accuracy over distances up to 1 km) would also be helpful. The quality of the laser spot—including size, clarity, steadiness, and roundness—is important for guidance systems, marking of transfer tools, and welding.

Many of the barriers to more widespread use of optics in the construction industry are nontechnical. Equipment suppliers have difficulty finding qualified application engineers that understand the problems of the construction industry. Development has to be directed more closely to usable products, and education should be updated for modern equipment. Specific project goals are important drivers for the introduction and acceptance of optical adjuncts; for example, tunneling and surveying technology advanced tremendously as a result of the Superconducting Super Collider project.

The Printing Industry

The U.S. printing market, including such documents as periodicals, catalogs, newspapers, financial and legal documents, and greeting cards, represented about $7.5 billion in shipments in 1994, with real growth averaging about 4% per year. Compared to the 4% overall annual growth rate of the printing industry, digital production printing has been growing at 16.5% per year (Box 5.6). Manufacturers of commercial printing equipment are predominantly non-U.S. Based.

BOX 5.6 GROWTH OF MARKET FOR OPTICS IN PRINTING

The printing industry is large and growing steadily. The market for optical techniques in printing is growing at an annual rate four times that of the industry overall.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

A strong drive toward shorter production times and just-in-time printing closer to the end user is forcing the printing industry to move from technologies such as traditional platemaking and printing to digital techniques, where the information to be printed is provided as digital input directly to the press. Figure 5.11 shows the current state and projected increase in use of digital technologies for a variety of printing applications. Digital platemaking, used for low-volume, high unit price applications, and digital printing, used for high-volume applications, are predominantly optical processes. Digital platemaking employs 25-to 100-W Nd:YAG, argon, or gallium aluminum arsenide lasers to expose traditional silver halide or a variety of photopolymers. Desktop digital publishing will continue to be dominated by inkjet printing and digital production printing by electrophotography.

For digital platemaking, photopolymers currently offer resolutions of 2,500 pixels per inch at a processing speed of 8 square inches per second. Requirements for the next 10 years call for an increase in processing speed to 50 square inches per second. Current electroplating techniques offer faster processing speed, about 20 square inches per second, but the resolution is only 1,200 pixels per inch. For digital printing, desktop applications are anticipated to increase from 30 to 100 square inches per second within 10 years, with production applications increasing from 200 to 1,200 square inches per second. Resolution for both techniques is predicted to increase from 600 to 1,200 pixels per inch.

From these figures, it can be seen that the primary opportunity to meet the projected requirements is an increase in pixel rates. However, the anticipation that the printing industry will be fully digital within 10 years offers a variety of opportunities for optics to contribute to the growth of digital printing technologies. Opportunities for optics include the development of higher-powered lasers for use with photopolymer plates, with emphasis on high-efficiency blue-green lasers, and imaging arrays to address the pixel limitations of current electronics.

FIGURE 5.11 Market segments adopting digital print production technologies. (Courtesy of M. Fleming, Duplex Products, Inc.)

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

Increasing Use of Optics in Industrial Manufacturing

The incorporation of optics and optical systems into industrial manufacturing can be divided into two broad categories: (1) optical systems in applications that improve on current practice, and (2) optical systems that provide a new capability. For example, laser levels and targeting systems for tunnel construction provided an improvement over mechanical theodolites in the ability to sight and construct tunnels; three-dimensional rapid prototyping enabled an entirely new method of constructing models without requiring the development of tools to build individual components. For each new situation, developers and users balance the rewards offered by the new technique against the risks inherent in inserting new technology.

Because of the overriding importance of maintaining a controlled, reproducible process, incorporating new techniques and technologies into a manufacturing process is often avoided until cost or delivery time pressures from competitors compel manufacturers to change or until their current process is no longer capable of delivering the product with the performance needed. In the former case, manufacturers will often incorporate incremental changes into their process, as in the IC manufacturers' introduction of a chemically amplified photoresist in the 1980s to obtain smaller feature sizes with current photolithography equipment. However, the limits of the current generation of photolithography tools are approaching, and manufacturers are now contemplating the introduction of a new generation of equipment.

Over the next few years, several key advances are expected in the use of light to perform manufacturing. In the area of photolithography, a new generation of deep-ultraviolet and extreme ultraviolet photolithography equipment and processes will have to be introduced to produce features in tomorrow's 16-gigabit chips. The use of excimer lasers will make it necessary to develop entire new families of polymer materials for use as photoresists at wavelengths of 193 nm and shorter. Many exciting advances are anticipated in the field of laser materials processing, where new laser sources will provide shorter wavelengths, higher beam intensity, and sharper focus. An exciting possibility is the use of adaptive optics to achieve true diffraction-limited resolution on arbitrarily shaped workpieces in environments with poor optical quality. The use of three-dimensional solid modeling for rapid prototyping and manufacturing will continue to expand as new solid-state, high-power, cw ultraviolet laser sources are developed and improved optics for beam delivery makes it possible to achieve submicron root-mean-square accuracy for surface roughness.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

FIGURE 5.12 Oxygen is introduced into molten steel in a furnace through the four large holes in the tip of this lance (top). Behind the smaller central hole is optical sensor equipment (bottom) for measuring position and temperature. (Courtesy of the American Iron and Steel Institute and B. Fuchs, Sandia National Laboratories.)

Major advances in the use of light to control manufacturing are also expected. Optical metrology should benefit from the development of smart sensors that incorporate data processing capability and from improved optical figure measurement techniques with 1-nm accuracy. Improvements in window and optical fiber materials will make it possible to use optical sensors to control manufacturing processes in increasingly hostile environments such as foundries. Figure 5.12 shows the recent successful use of optical sensors to measure temperature by submerging a probe in a bath of molten steel. Machine vision promises to increase its impact on manufacturing provided improved image processing and pattern recognition algorithms can be developed to make generic or plug-and-play solutions feasible.

Summary and Recommendations

Photolithography is the single most significant application of optics in industrial manufacturing. Submicron resolution, narrow-field-of-view photolithography is essential for the mass production of semiconductor integrated circuits, a major component of the U.S. and world economies.

The resolution achievable by photolithography will continue to be improved as far as possible. Dimensions as small as 0.18 µm will be achieved in the near future using 193-nm excimer laser sources. To

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

achieve even higher resolutions, new technologies such as electron beam projection lithography and extreme-UV projection lithography are being developed.

Key technical barriers to be overcome for improved photolithography include the development of new families of photoresist materials for extreme-UV and electron beam sources, development of practical high-resolution masks or of maskless photolithography systems, and realization of all-reflective exposure tools for use at wavelengths of less than 193 nm.

Lasers perform a variety of materials processing operations as part of the manufacturing processes currently employed in a wide range of industries, including the semiconductor, aircraft, aerospace, construction, and automotive industries. These processes include cutting, welding, drilling, and surface hardening. Compared to conventional techniques, laser materials processing tools operate without physical contact, provide high localized energy density, and are truly versatile in their energy delivery.

The United States once dominated the production of specialized industrial lasers to perform materials processing functions but now has only a minority share of the market. To aid in reestablishing U.S. leadership, the Precision Laser Machining Consortium was formed through a partnership of government, industry, and academia.

Key technical barriers to be overcome for laser materials processing include improved optomechanics for beam delivery in adverse environments. An exciting possibility is the use of adaptive optics to correct for thermal and other aberrations in the beam path so that true diffraction-limited application and resolution can be achieved in manufacturing environments.

An emerging optical technique for performing manufacturing with great potential importance is laser-based rapid prototyping. Solid three-dimensional structures can be created in several different media by using laser irradiation to build a solid design directly from the information stored on a computer-aided design tape, layer by layer.

Among the techniques for using optics to control manufacturing, optical metrology is pre-eminent. Major uses of optical metrology systems include defect detection, inspection, measurement of product dimensions, monitoring manufacturing process conditions, providing real-time manufacturing process feedback control, alignment, and multidimensional measurement.

Optical sensors play a major role in many diverse industries. In the chemical industry, the implementation of robust, noninvasive optical sensors has been found in some instances to double the productivity of an existing chemical plant. In the semiconductor industry, optical sensors are used for contamination-free manufacturing, adaptive process

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

control, and environmental safety and health. Optical sensors are employed in the construction industry to measure groundwater, air quality, and stack emissions as well as for geodesy.

Because of the overwhelming importance of photolithography to the U.S. economy, government agencies such as DARPA, in concert with commercial alliances such as SEMATECH, have been motivated to play an essential role in providing high-level oversight and coordination in the development of U.S. photolithography technology. There is a need to continue this role to guide future technical progress. A particular requirement is new families of photoresist materials, especially for extreme-UV and other new lithography technologies.

Advanced laser materials processing techniques are widely used in automobile assembly and other factories overseas, but less widely used in the United States. A factor that has undoubtedly aided the more rapid acceptance of laser materials processing in the foreign automobile industry is the greater level of emphasis that European and Japanese universities place on training engineers to be familiar with laser manufacturing techniques. The establishment of an application test facility in a service center setting in the United States would be particularly useful.

The use of three-dimensional laser-based or other rapid prototyping tools should be investigated for manufacturing limited quantities of actual working replacement parts. Such a capability would have important logistic benefits for military and other mobile or remotely sited applications.

Current problems in metrology for industrial manufacturing include the high-accuracy measurement of dimensions and position, the measurement of complex three-dimensional parts and surfaces, and the inspection of nanoparticle contamination on semiconductor wafers. Improved measurement standards and practices are needed for lithography systems, film thickness measurement, high-definition imaging systems, and colorimetry. More flexible applied optical metrology systems are necessary that do not require customization before each implementation.

In the manufacturing environment, the frequent goal of machine vision is replace human inspectors and allow automatic adjustment and optimization of the manufacturing process, quality control, and inspection. Two major limiting factors are the poor performance of presently available image processing and pattern recognition algorithms, and the need for custom algorithms and hardware configurations for each specific task. Nevertheless, tens of thousands of machine vision systems have been used.

For expanded utility in the chemical industry, optical sensors require improved optical configurations that can withstand extremely harsh environments involving corrosive materials at elevated temperature and

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

pressure. Standardized optical probes are generally not commercially available. The special need of the construction industry is for portable sensors that are rugged enough to survive field use.

To preserve and enhance this critical technology base, coordinated government-industry-university activities are recommended in the following areas:

A multiagency-supported application and test facility should be established in a service center setting using the DARPA-sponsored Precision Laser Machining Consortium as a model for extension of laser materials processing and other optically assisted manufacturing techniques.

The National Institute of Standards and Technology should support development of optical metrology and machine vision systems with improved performance, with the ultimate objective of plug-and-play capability.

References

American Society for Metals. 1983. Pp. 647-671 in Metals Handbook, 9th ed., Vol. 6: Welding, Brazing and Soldering. Materials Park, Ohio: ASM International.

American Society for Metals. 1989. Pp. 572-576 in Metals Handbook, 9th ed., Vol. 16: Machining. Materials Park, Ohio: ASM International.

American Society for Metals. 1991. P. 265 in Metals Handbook, Vol. 4: Heat Treating. Materials Park, Ohio: ASM International.

Azer, M.A. 1995. Laser powder welding: A key to component production, refurbishment and salvage. Photonics Spectra 29(10):122-127.


Belforte, D. 1995. Presentation to the Committee on Optical Science and Engineering, October 12.

Belforte, D. 1997. Belforte Associates. Personal communication to the Committee on Optical Science and Engineering, June.

Bell, I., and N. Croxford. 1995. Fiber delivery gives YAGs an edge. Photonics Spectra 29(10):117-120.

Brueck, S. 1995. Optoelectronic diagnostics for semiconductor manufacturing. Presentation to the Committee on Optical Science and Engineering, October 12.


Dinda, S. 1996. Chrysler Corporation. Personal communication to the Committee on Optical Science and Engineering.


Hu, S.J., and S.M. Wu. 1992. Identifying root causes of variation in automotive body assembly using principal component analysis. Trans. NAMRI 20:311-316.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×

Industrial Laser Review. 1997. User profile: Successful solid-state sheet-metal cutting, Vol. 12, No. 5, pp. 15-17.


Levenson, M.D. 1993. Wavefront engineering for photolithography. Phys. Today 46:28.


Mak, C.A. 1996. Trends in optical lithography. Opt. and Photonics News (April):29.

Molian, P.A. 1986. Engineering applications and analysis of hardening data for laser heat treated ferrous alloys. Surf. Eng. 2:19-28.

Moore, G.E. 1975. Progress in digital integrated electronics. IEDM Technical Digest 11.


Photonics Spectra. 1995. Laser and light sources applications, Vol. 29, No. 10, p. 142.


SEMATECH. 1997. Critical level exposure technology potential solutions roadmap. Available online at <http//www.sematech.org/public/roadmap/doc/graphics/lithoro04.gif>. July 22.

Semiconductor Industry Association (SIA). 1994. National Technology Roadmap for Semiconductors. San Jose, Calif.: SIA.


Weiss, Stephanie A. 1995. Think small: Lasers compete in micromachining. Photonics Spectra 29(10):108-114.

Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 195
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 196
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 197
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 198
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 199
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 200
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 201
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 202
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 203
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 204
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 205
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 206
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 207
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 208
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 209
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 210
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 211
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 212
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 213
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 214
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 215
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 216
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 217
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 218
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 219
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 220
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 221
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 222
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 223
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 224
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 225
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 226
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 227
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 228
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 229
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 230
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 231
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 232
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 233
Suggested Citation:"5 Optics in Industrial Manufacturing." National Research Council. 1998. Harnessing Light: Optical Science and Engineering for the 21st Century. Washington, DC: The National Academies Press. doi: 10.17226/5954.
×
Page 234
Next: 6 Manufacturing Optical Components and Systems »
Harnessing Light: Optical Science and Engineering for the 21st Century Get This Book
×
Buy Paperback | $59.00 Buy Ebook | $47.99
MyNAP members save 10% online.
Login or Register to save!
Download Free PDF

Optical science and engineering affect almost every aspect of our lives. Millions of miles of optical fiber carry voice and data signals around the world. Lasers are used in surgery of the retina, kidneys, and heart. New high-efficiency light sources promise dramatic reductions in electricity consumption. Night-vision equipment and satellite surveillance are changing how wars are fought. Industry uses optical methods in everything from the production of computer chips to the construction of tunnels. Harnessing Light surveys this multitude of applications, as well as the status of the optics industry and of research and education in optics, and identifies actions that could enhance the field's contributions to society and facilitate its continued technical development.

  1. ×

    Welcome to OpenBook!

    You're looking at OpenBook, NAP.edu's online reading room since 1999. Based on feedback from you, our users, we've made some improvements that make it easier than ever to read thousands of publications on our website.

    Do you want to take a quick tour of the OpenBook's features?

    No Thanks Take a Tour »
  2. ×

    Show this book's table of contents, where you can jump to any chapter by name.

    « Back Next »
  3. ×

    ...or use these buttons to go back to the previous chapter or skip to the next one.

    « Back Next »
  4. ×

    Jump up to the previous page or down to the next one. Also, you can type in a page number and press Enter to go directly to that page in the book.

    « Back Next »
  5. ×

    Switch between the Original Pages, where you can read the report as it appeared in print, and Text Pages for the web version, where you can highlight and search the text.

    « Back Next »
  6. ×

    To search the entire text of this book, type in your search term here and press Enter.

    « Back Next »
  7. ×

    Share a link to this book page on your preferred social network or via email.

    « Back Next »
  8. ×

    View our suggested citation for this chapter.

    « Back Next »
  9. ×

    Ready to take your reading offline? Click here to buy this book in print or download it as a free PDF, if available.

    « Back Next »
Stay Connected!